当前位置:文档之家› 电子专业英语词汇(续)

电子专业英语词汇(续)

电子专业英语词汇(续)
电子专业英语词汇(续)

Abrupt junction 突变结

Accelerated testing 加速实验

Acceptor 受主

Acceptor atom 受主原子

Accumulation 积累、堆积

Accumulating contact 积累接触

Accumulation region 积累区

Accumulation layer 积累层

Active region 有源区Active component 有源元

Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带

Alloy-junction device合金结器件Aluminum(Aluminium) 铝

Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化

Ambipolar 双极的Ambient temperature 环境温度

Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃

Anneal 退火Anisotropic 各向异性的

Anode 阳极Arsenic (AS) 砷

Auger 俄歇Auger process 俄歇过程

Avalanche 雪崩Avalanche breakdown 雪崩击穿

Avalanche excitation雪崩激发

Background carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置

Ballasting resistor 整流电阻Ball bond 球形键合

Band 能带Band gap 能带间隙

Barrier 势垒Barrier layer 势垒层

Barrier width 势垒宽度Base 基极

Base contact 基区接触Base stretching 基区扩展效应

Base transit time 基区渡越时间Base transport efficiency基区输运系数

Base-width modulation基区宽度调制Basis vector 基矢

Bias 偏置Bilateral switch 双向开关

Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管

Bloch 布洛赫Blocking band 阻挡能带

Blocking contact 阻挡接触Body - centered 体心立方

Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼

Bond 键、键合Bonding electron 价电子

Bonding pad 键合点Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器Boron 硼

Borosilicate glass 硼硅玻璃Boundary condition 边界条件

Bound electron 束缚电子Breadboard 模拟板、实验板

Break down 击穿Break over 转折

Brillouin 布里渊Brillouin zone 布里渊区

Built-in 内建的Build-in electric field 内建电场

Bulk 体/体内Bulk absorption 体吸收

Bulk generation 体产生Bulk recombination 体复合

Burn - in 老化Burn out 烧毁

Buried channel 埋沟Buried diffusion region 隐埋扩散区

Can 外壳Capacitance 电容

Capture cross section 俘获截面Capture carrier 俘获载流子

Carrier 载流子、载波Carry bit 进位位

Carry-in bit 进位输入Carry-out bit 进位输出

Cascade 级联Case 管壳

Cathode 阴极Center 中心

Ceramic 陶瓷(的)Channel 沟道

Channel breakdown 沟道击穿Channel current 沟道电流

Channel doping 沟道掺杂Channel shortening 沟道缩短

Channel width 沟道宽度Characteristic impedance 特征阻抗

Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件

Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储

Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光Chip 芯片

Chip yield 芯片成品率Clamped 箝位

Clamping diode 箝位二极管Cleavage plane 解理面

Clock rate 时钟频率Clock generator 时钟发生器

Clock flip-flop 时钟触发器Close-packed structure 密堆积结构

Close-loop gain 闭环增益Collector 集电极

Collision 碰撞Compensated OP-AMP 补偿运放

Common-base/collector/emitter connection 共基极/集电极/发射极连接

Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益Common-mode input 共模输入

Common-mode rejection ratio (CMRR) 共模抑制比

Compatibility 兼容性Compensation 补偿

Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制

Compound Semiconductor 化合物半导体Conductance 电导

Conduction band (edge) 导带(底) Conduction level/state 导带态

Conductor 导体Conductivity 电导率

Configuration 组态Conlomb 库仑

Conpled Configuration Devices 结构组态Constants 物理常数

Constant energy surface 等能面Constant-source diffusion恒定源扩散

Contact 接触Contamination 治污

Continuity equation 连续性方程Contact hole 接触孔

Contact potential 接触电势Continuity condition 连续性条件

Contra doping 反掺杂Controlled 受控的

Converter 转换器Conveyer 传输器

Copper interconnection system 铜互连系统Couping 耦合

Covalent 共阶的Crossover 跨交

Critical 临界的Crossunder 穿交

Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶

Current density 电流密度Curvature 曲率

Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享

Current Sense 电流取样Curvature 弯曲

Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

Dangling bonds 悬挂键Dark current 暗电流

Dead time 空载时间Debye length 德拜长度

De.broglie 德布洛意Decderate 减速

Decibel (dB) 分贝Decode 译码

Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度

Delay 延迟Density 密度

Density of states 态密度Depletion 耗尽

Depletion approximation 耗尽近似Depletion contact 耗尽接触

Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOS Depletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则

Die 芯片(复数dice)Diode 二极管

Dielectric 介电的Dielectric isolation 介质隔离

Difference-mode input 差模输入Differential amplifier 差分放大器

Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数

Diffusion constant 扩散常数Diffusivity 扩散率

Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴

Dipole layer 偶极层Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件

Dissipation 耗散Distribution 分布

Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错

Domain 畴Donor 施主

Donor exhaustion 施主耗尽Dopant 掺杂剂

Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移Drift field 漂移电场

Drift mobility 迁移率Dry etching 干法腐蚀

Dry/wet oxidation 干/湿法氧化Dose 剂量

Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

Early effect 厄利效应Early failure 早期失效

Effective mass 有效质量Einstein relation(ship) 爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器

Electrode 电极Electrominggratim 电迁移

Electron affinity 电子亲和势Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气Electron-grade water 电子级纯水

Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏

Electrostatic 静电的Element 元素/元件/配件

Elemental semiconductor 元素半导体Ellipse 椭圆

Ellipsoid 椭球Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对

Emitter follower 射随器Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的

Environmental test 环境测试Epitaxial 外延的

Epitaxial layer 外延层Epitaxial slice 外延片

Expitaxy 外延Equivalent curcuit 等效电路

Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可搽取(编程)存储器

Error function complement 余误差函数

Etch 刻蚀Etchant 刻蚀剂

Etching mask 抗蚀剂掩模Excess carrier 过剩载流子

Excitation energy 激发能Excited state 激发态

Exciton 激子Extrapolation 外推法

Extrinsic 非本征的Extrinsic semiconductor 杂质半导体

Face - centered 面心立方Fall time 下降时间

Fan-in 扇入Fan-out 扇出

Fast recovery 快恢复Fast surface states 快界面态

Feedback 反馈Fermi level 费米能级

Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势

Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管

Field oxide 场氧化层Filled band 满带

Film 薄膜Flash memory 闪烁存储器

Flat band 平带Flat pack 扁平封装

Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转

Floating gate 浮栅Fluoride etch 氟化氢刻蚀

Forbidden band 禁带Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声

Frequency response 频率响应Function 函数

Gain 增益Gallium-Arsenide(GaAs) 砷化钾

Gamy ray r 射线Gate 门、栅、控制极

Gate oxide 栅氧化层Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合

Geometries 几何尺寸Germanium(Ge) 锗

Graded 缓变的Graded (gradual) channel 缓变沟道

Graded junction 缓变结Grain 晶粒

Gradient 梯度Grown junction 生长结

Guard ring 保护环Gummel-Poom model 葛谋-潘模型

Gunn - effect 狄氏效应

Hardened device 辐射加固器件Heat of formation 形成热

Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带

Heavy saturation 重掺杂Hell - effect 霍尔效应

Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子

Hybrid integration 混合集成

Image - force 镜象力Impact ionization 碰撞电离

Impedance 阻抗Imperfect structure 不完整结构

Implantation dose 注入剂量Implanted ion 注入离子

Impurity 杂质Impurity scattering 杂志散射

Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物Induced channel 感应沟道

Infrared 红外的Injection 注入

Input offset voltage 输入失调电压Insulator 绝缘体

Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑

Integration 集成、积分Interconnection 互连

Interconnection time delay 互连延时Interdigitated structure 交互式结构

Interface 界面Interference 干涉

International system of unions国际单位制Internally scattering 谷间散射

Interpolation 内插法Intrinsic 本征的

Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器

Ion 离子Ion beam 离子束

Ion etching 离子刻蚀Ion implantation 离子注入

Ionization 电离Ionization energy 电离能

Irradiation 辐照Isolation land 隔离岛

Isotropic 各向同性

Junction FET(JFET) 结型场效应管Junction isolation 结隔离

Junction spacing 结间距Junction side-wall 结侧壁

Latch up 闭锁Lateral 横向的

Lattice 晶格Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟

/晶格缺陷/晶格畸变

Leakage current (泄)漏电流Level shifting 电平移动

Life time 寿命linearity 线性度

Linked bond 共价键Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻Light Emitting Diode(LED) 发光二极管

Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅

Lorentz 洛沦兹Lumped model 集总模型

Majority carrier 多数载流子Mask 掩膜板,光刻板

Mask level 掩模序号Mask set 掩模组

Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦

Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间

Megeto - resistance 磁阻Mesa 台面

MESFET-Metal Semiconductor金属半导体FET

Metallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数

Minority carrier 少数载流子Misfit 失配

Mismatching 失配Mobile ions 可动离子

Mobility 迁移率Module 模块

Modulate 调制Molecular crystal分子晶体

Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增

Modulator 调制Multi-chip IC 多芯片IC

Multi-chip module(MCM) 多芯片模块Multiplication coefficient倍增因子

Naked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻

Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析

Occupied band 满带Officienay 功率

Offset 偏移、失调On standby 待命状态

Ohmic contact 欧姆接触Open circuit 开路

Operating point 工作点Operating bias 工作偏置

Operational amplifier (OPAMP)运算放大器

Optical photon =photon 光子Optical quenching光猝灭

Optical transition 光跃迁Optical-coupled isolator光耦合隔离器

Organic semiconductor有机半导体Orientation 晶向、定向

Outline 外形Out-of-contact mask非接触式掩模

Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护

Over shoot 过冲Over-voltage protection 过压保护

Overlap 交迭Overload 过载

Oscillator 振荡器Oxide 氧化物

Oxidation 氧化Oxide passivation 氧化层钝化

Package 封装Pad 压焊点

Parameter 参数Parasitic effect 寄生效应

Parasitic oscillation 寄生振荡Passination 钝化

Passive component 无源元件Passive device 无源器件

Passive surface 钝化界面Parasitic transistor 寄生晶体管

Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期

Periodic table 周期表Permeable - base 可渗透基区

Phase-lock loop 锁相环Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂Pin 管脚

Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)

Planar process 平面工艺Planar transistor 平面晶体管

Plasma 等离子体Plezoelectric effect 压电效应

Poisson equation 泊松方程Point contact 点接触

Polarity 极性Polycrystal 多晶

Polymer semiconductor聚合物半导体Poly-silicon 多晶硅

Potential (电)势Potential barrier 势垒

Potential well 势阱Power dissipation 功耗

Power transistor 功率晶体管Preamplifier 前置放大器

Primary flat 主平面Principal axes 主轴

Print-circuit board(PCB) 印制电路板Probability 几率

Probe 探针Process 工艺

Propagation delay 传输延时Pseudopotential method 膺势发

Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse

Widen Modulator(PWM) 脉冲宽度调制

Punchthrough 穿通Push-pull stage 推挽级

Quality factor 品质因子Quantization 量子化

Quantum 量子Quantum efficiency量子效应

Quantum mechanics 量子力学Quasi – Fermi-level准费米能级Quartz 石英

Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合

Radioactive 放射性Reach through 穿通

Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管

Reciprocal lattice 倒核子Recovery time 恢复时间

Rectifier 整流器(管)Rectifying contact 整流接触

Reference 基准点基准参考点Refractive index 折射率

Register 寄存器Registration 对准

Regulate 控制调整Relaxation lifetime 驰豫时间

Reliability 可靠性Resonance 谐振

Resistance 电阻Resistor 电阻器

Resistivity 电阻率Regulator 稳压管(器)

Relaxation 驰豫Resonant frequency共射频率

Response time 响应时间Reverse 反向的

Reverse bias 反向偏置

Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区

Saturation region 饱和区Saturation 饱和的

Scaled down 按比例缩小Scattering 散射

Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格

Secondary flat 次平面

Seed crystal 籽晶Segregation 分凝

Selectivity 选择性Self aligned 自对准的

Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感

Settle time 建立时间Sheet resistance 薄层电阻

Shield 屏蔽Short circuit 短路

Shot noise 散粒噪声Shunt 分流

Sidewall capacitance 边墙电容Signal 信号

Silica glass 石英玻璃Silicon 硅

Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅

Siliver whiskers 银须Simple cubic 简立方

Single crystal 单晶Sink 沉

Skin effect 趋肤效应Snap time 急变时间

Sneak path 潜行通路Sulethreshold 亚阈的

Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带

Source 源极Source follower 源随器

Space charge 空间电荷Specific heat(PT) 热

Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂

Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错

Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差

Sublimation 升华Substrate 衬底

Substitutional 替位式的Superlattice 超晶格

Supply 电源Surface 表面

Surge capacity 浪涌能力Subscript 下标

Switching time 开关时间Switch 开关

Tailing 扩展Terminal 终端

Tensor 张量Tensorial 张量的

Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉

Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Threshlod 阈值

Thyistor 晶闸管Transconductance 跨导

Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的

Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物

Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的

Trap 陷阱Trapping 俘获

Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发

Trim 调配调整Triple diffusion 三重扩散

Truth table 真值表Tolerahce 容差

Tunnel(ing) 隧道(穿)Tunnel current 隧道电流

Turn over 转折Turn - off time 关断时间

Ultraviolet 紫外的Unijunction 单结的

Unipolar 单极的Unit cell 原(元)胞

Unity-gain frequency 单位增益频率Unilateral-switch单向开关

Vacancy 空位Vacuum 真空

Valence(value) band 价带Value band edge 价带顶

Valence bond 价键Vapour phase 汽相

Varactor 变容管Varistor 变阻器

Vibration 振动Voltage 电压

Wafer 晶片Wave equation 波动方程

Wave guide 波导Wave number 波数

Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数

Worst-case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

Zone melting 区熔法

相关主题
文本预览
相关文档 最新文档