当前位置:文档之家› 十字路口交通灯控制电路的设计教材

十字路口交通灯控制电路的设计教材

十字路口交通灯控制电路的设计教材
十字路口交通灯控制电路的设计教材

目录

1.设计的任务与要求 (1)

2. 方案论证与选择 (2)

3.单元电路的设计和元器件的选择 (6)

3.1 五秒倒计时减数电路的设计 (6)

3.2 二十秒倒计时减数电路的设计 (9)

3.3三十秒倒计时减数电路的设计 (10)

3.4 状态译码电路的设计 (11)

3.5交通灯状态显示电路的设计 (13)

3.6交通灯定时电路的设计 (15)

3.7 交通灯故障检测电路的设计 (21)

3.8状态控制器电路的设计 (23)

3.9人行道的指示灯电路的设计 (24)

3.10 紧急情况时,手动开关控制电路的设计 (26)

3.11干道通行时间调整电路的设计 (27)

3.12 手动清零及数字闪烁电路的设计 (28)

3.13主要元器件的选择 (30)

4.系统电路总图 (30)

5.经验体会 (30)

6.参考文献 (32)

附录A:系统电路仿真图 (33)

附录B:元器件清单 (35)

十字路口交通灯控制电路的设计

1.设计任务与要求

1.1 设计目的

随着生活水平的提高,家庭汽车拥有量越来越多,城市交通堵塞问题越来越严重,解决城市的交通拥挤问题越来越紧迫。交通灯在这个交通环境中起着一个重要的角色,是交通管理部门管理交通的重要工具。十字路口的红绿灯指挥着行人和各种车辆的安全运行。智能的交通灯能有效地缓解城市的交通压力,减少交通事故;为人民节省大量出行时间,创造出更多的社会价值。本文运用数字电路理论知识自行设计一个较为完整的小型数字系统。通过系统设计、Multisim软件仿真、电路安排与调试,在此次设计中学会初步掌握工程设计的具体步骤和方法,提高分析问题和解决问题的能力,以及提高实际应用水平。

1.2 设计内容

1.设计一个十字路口的交通灯控制电路,十字路口分为主干

道、次干道,两干道交替运行,红灯亮表示禁止通行,绿

灯亮表示可以通行。主干道放行时间为30秒,次干道为

20秒。

2. 每次绿灯变红灯,黄灯先亮5秒(另外一条道上依然是红

灯)。

3. 干道上有数字显示的时间提示,方便人们把握时间,具体

要求主、次道上通行时间和黄灯亮都是秒减计数。

4. 黄灯亮时,红灯闪烁。

6.同时设置人行横道红、绿、黄灯提示,并且绿灯闪烁。

7. 考虑到特殊车辆情况,可设置一个紧急转换开头。它可使

紧急红灯闪烁,蜂鸣器提示。

8. 可适当设置干道通行时间,两个方向能根据车流量大小自

动调节通行时间,车流量大,通行时间长,车流量小,通行时间短。

9. 时间显示,手动清零,以及用七段数码显示管的显示管数

字闪烁。

10. 设计故障检查电路。

1.3 设计要求

1.用Multisim仿真软件仿真电路,并进行调试;

2.写出设计的全过程,附上有关电路图和资料,最后有心

得体会。

3.附带元器件及参数;

2.方案论证与选择

2.1十字路口交通灯的系统设计

十字路口交通灯的系统图如图1,秒脉冲发生器产生整个定

时系统的基脉冲,由减法计数器对显示时间减数达到控制每种工

作状态的持续时间,当减法计数器的回零脉冲使状态控制器完成

状态转换,与此同时,状态译码器根据系统的下一个工作状态决

定下一个减计数的初始值。减法计数器的状态有BCD译码器、

显示管显示。在黄灯亮期间,状态译码器将秒脉冲引入红灯控制

电路,让红灯闪烁。

图1 十字路口交通灯的系统图

2.2 十字路口交通灯的状态控制器设计

十字路口交通灯信号流程图见图2,可将其分为四种状态。0

A 表示主干道绿灯亮,次干道红灯亮。1A 表示主干道黄灯亮,次干道红闪烁。2A 表示主干道红灯亮,次干道绿灯亮。3A 表示主干道红灯闪烁,次干道黄灯亮。状态编码及状态转换图如下:

图2 交通灯信号流程图

2.3 DCD_HEX与七段数码显示管

Multisim中,两者之间都可显示,它们各有优势,显然七段数码显示显示管可在电路中实现更多的功能,比如实现数字闪烁。具体见

所以我选取了DCD_HEX来进行定时电路的显示,使用方法见图3,使用方法如下:

图3 七段数码管显示图

2.4秒脉冲发生器

通常,秒脉冲发生器选择如图4,它们都能产生方波。其中555定时器很精确,但在此次方正过程中,我采用右边的脉冲发生器作为秒脉冲发生器。

图4 秒脉冲发生器

3.单元电路的设计和元器件的选择

3.1五倒计时减数电路的设计

由74LS192D、DCD_HEX、74LS32D、方波发生器等组成。

其中DCD_HEX是十六进制的显示管,74LS32D是或门,74LS192D的功能如下所述。具体电路见图5。

减数器的实现:

根据74LS192D的特点,脉冲从DOWN端接入。置入0101后实现减数功能,当减到数码管显示为零,通过或门实现置数端为低电平,将0101置入。

图5 五秒倒计时电路图仿真图

74LS192D的引脚图见图6,真值表见图7。

图6 74LS192D的引脚图

图7 74LS192D的真值表

功能叙述:74LS192D管脚图中,QA、QB、QC、QD为计数

输出端;DOWN为减脉冲输入端,UP为加脉冲输入端;CLR为清零端,当该管脚为高电平时,输出端清零,为0000;A、B、C、D 用于为计数器预置初始计数值;LOAD端为置数端,低电平有效,输出端分别为输入端A、B、C、D的电平。BO用于输出一个宽度

等于减计数输入的脉冲,用于级联下级减计数,CO用于输出一个宽度等于加计数输入的脉冲,用于级联下级加计数。

3.2 二十秒倒计时电路的设计

由74LS192D、DCD_HEX、方波发生器、74LS00D 组成。Multisim仿真电

路图见图8。

实现原理:由于是两位数,需要两个DCD_HEX来显示倒计

时时间,

74LS192D也需要两个。如图所示,低位芯片借位端BO连接

高位的减脉冲输

入端DOWN,这样可以实现借位。当高位为0时,低位再次借

位是,在那一

瞬间高位出现9,而马上由与非门置为2或3。加脉冲端UP

端接高电平,清

零端CLR接低电平。

图8 二十秒倒计时电路仿真图

3.3 三十进制减数电路设计

由74LS192D、DCD_HEX、方波发生器、74LS00D 组成。其实现原理同3.2。

它们只不过置数端有所差异。3.2高位芯片置入0010,而在这高位芯片置入0011。

Multisim仿真电路图见图9。

图9 三十秒倒计时电路仿真图3.4 状态译码电路的设计

主、次干道上红、黄、绿信号灯的状态主要取决于状态控制器的输出状态。

真值表如下:

表1 状态译码电路设计原理

由真值表得逻辑表达式如下:

主干道红黄绿灯:

2R Q =

2R Q =

21Y Q Q =? 21Y Q Q =? ; 21G Q Q =?

21G Q Q =?

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

交通灯控制电路设计

交通灯控制电路设计 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。 一、设计目的 1.掌握交通灯控制电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s 计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置5s计时显示电路。 三、交通灯控制电路基本原理及电路设计 实现上述任务的控制器整体结构如图4-2-4 主干道信号灯支干道信号灯 译码驱动电路 主控制器时传钟感信器号 计时器 图4-2-4交通灯控制器结构图

1(主控制器 主控电路是本课题的核心,它的输入信号来自车辆的检测信号和30s、20s、5s 三个 定时信号,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制 定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。 主控电路的输入信号有: 主干道有车A,1,无车A,0; 支干道有车B,1,无车B,0; 主干道有车过30s为L,1,未过30s为L=0; 支干道有车过20s为S,1,未过20s为S,0; 黄灯亮过5s为P,1,未过5s为P,0。 主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态: 主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S; 0 主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S; 1 主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S; 2 主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S。 3 四种状态的转换关系如图4-2-5: 支道无车或主道有车未过30s 主绿灯主道有车支道有车支红灯或主支道均有车已亮过30s过5s S0未未主红灯主黄灯过过支黄灯支红灯S1S35s5s亮亮 S2 过5s支道无车或主主红灯道有车已过20s支绿灯亮

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯管理电路设计

第1章概述 随着我国社会经济的发展,城市化、城镇化进程的加快,道路交通堵塞问题日趋严重,如何对交通进行合理的管理和调度而尽可能减少堵车现象成为目前我国很多地方尤其是特大城市急需解决的问题,显然交通灯在其中起着不可缺少的作用。 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两种旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年开始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 我国机动车辆发展迅速,而城镇道路建设由于历史等各种原因相对滞后。道路拥挤、阻塞现象及交通事故常有发生。如何利用当今自动控制技术,有效地疏导交通,提高城镇交通路口的通行能力,提高车辆速度,减少交通事故是值得我

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制电路

武汉工程大学邮电与信息工程学院实验报告 姓名张宇学号7402150330 指导老师 专业07自动化班级 03 实验室日期 实验题目交通灯控制器的EWB仿真 一、实验目的 通过前面几节的阐述,大家对EWB软件中的元件及仪器的应用有了一定的概念,所以这一节选择了综合性较强的电路——交通灯控制器的仿真设计。 二、实验内容 设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制。 三、实验原理 根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表8-2所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的函数表达式克由EWB的逻辑转换仪获得。因为一次循环需要12秒,所以输入端可应用74LS163芯片来完成时间上的控制。其管脚和功能表基本上与74LS160一样,只是它是二进制计数器,时钟为下跳边触发。首先,12秒一循环相当是一

个模12技术,因此应将Q D、Q B和Q A通过一与非门接到芯片的CR清零端;其次,CP时钟端应输入1Hz的脉冲信号(这一元件可在电源元件库中找到,点中该元件后按鼠标右键,在属性一栏中修改它的输出频率为1Hz);最后,根据下面所得的函数表达式完成电路设计。 M G D C D B D C D B =+=? = M Y C B = M R D =+=? C G D B D A D B D A = C Y D B A = C R D 四、交通灯控制器电路的实现及结果仿真 由上述函数式可画出相应的电路图,按图所示的电路进行仿真,结果达到预期目的。为更直观地观察各灯之间的关系,我们在上图的基础上,街上逻辑分析仪进行仿真测试。如果出错,从图中也能很直观

交通灯控制电路设计.

交通灯控制电路设计作者姓名:2B 专业名称:测控技术与仪器 指导教师:2B 讲师

摘要 本设计主要分为三大模块:输入控制电路、时钟控制电路和显示电路。以AT89C51单片机为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯和黄灯的燃亮功能;为了系统稳定可靠,采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键词:AT89C51 LED显示交通灯控制

Abstract This design mainly divided into three modules: input control circuit, control circuit and the clock display circuit. With AT89C51 single-chip microcomputer as the center device to designing traffic light controller, realize the AT89C51 chip P0 mouth red lights, a green light and set up the yellow lights brighten function; In order to system is stable and reliable, and USES 74 LS14 Schmitt toggle circuit chip away shaking, to avoid the system for the input signal jitter produce false operation; Show time directly through the P2 mouth AT89C51 output, driven by CD4511 LED digital display red light lit the time. Keywords: AT89C51, LED, display, traffic, control

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

交通灯控制电路的设计

交通灯控制电路的设计 一、设计任务与要求 设计一个十字路口的交通灯控制器,控制A,B 两条交叉道路上的车辆通行,东西方向为主干道A ,南北方向为副干道B ;具体要求如下: 1、每条道路设一组信号灯,每组信号灯有红、黄、绿3个灯组成,绿灯表示允许通过,红灯表示禁止通行,黄灯表示该车道上已过停车线的车辆继续通行,未过停车线的车辆停止通行。 2、主干道通行40秒,南北通行时间为20秒。 3、每次变换通行车道之前,要求黄灯先亮5s ,才能变换通行车道。 4、黄灯亮时,要求每秒闪烁一次。 二、方案设计与论证 首先根据设计的任务与要求,经过分析得出要设计的这个交通灯控制电路的功能满足以下几点: 1、控制主干道A 与副干道B 的信号灯的亮灭。 2、可以对主干道与副干道的信号灯亮的时间进行倒数计时。 3、实现黄灯的每秒闪烁。因此我们可以知道此电路应包含振荡电路、计数器电路、译码显示、主控制电路和信号灯译码驱动器等五个部分,并分析其原理图如图1所示并作出以下两种方案。 图1 方案一: 将整个电路工作循环周期65S 作为总时间,用74LS192芯片在此基础上进行分段,依次是40S 主干道绿灯亮副干道红灯亮,5S 主干道黄灯闪烁,20S 支干道红灯亮副干道绿灯亮,5S 副干道黄灯闪烁。再根据每一段的输出信号不同用基 计数器电路 振荡电路 主控制电路 信号灯译 码驱动器 译码显示

本逻辑门电路连接交通灯来实现每段时间不同的灯亮。此方案易于分析理解,缺点是相对于第二种方案可操作行比较差,需要的原件比较多,看起来比较复杂,不易实现,同时性价比较低。 方案二: 对红绿灯时段分别倒数计时,运用红绿灯变化时的高低电平对74LS192芯片进行置数,使之能分别进行40S、5S、20S倒数计时,再通过74LS160芯片的输出端进行各种逻辑组合运算控制红黄绿三种灯的亮灭,通过555定时器提供的脉冲信号控制黄灯的闪烁。此方案与方案一相比更易操作,用到的逻辑运算器件也比方案一要少,现实应用时可用性好,性价比较高。 三、单元电路设计与参数计算 1、振荡电路 555定时器是一种模拟和数字功能相结合的中规模集成器件,具有成本低,性能可靠的优点,只需外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器以及施密特触发器等脉冲产生与变换电路。 555定时器的功能表如下: 脉冲信号源选用555定时器产生,频率为1Hz,根据555定时器构成的多谐振荡器原理,可得出公式:振荡周期为T=0.7(R1+R2)C和振荡频率为f=1/T。各项数据可为R1=47KΩ,R2=51KΩ,C1=10uF,C2=10nF,根据555定时器的功能实现效果来连接电路,其电路图如图2所示。 图2 振荡电路

交通灯控制逻辑电路设计

黑龙江工业学院 数字电子技术课程设计报告 院系:电气与信息工程系 专业班级:14电气本八 姓名:耿振 学号:04991408005 指导教师:黄睿 报告成绩:

1.设计目的 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒;要求黄灯先亮5秒,才能变换运行车道;黄灯亮时,要求每秒钟闪亮一次 2.设计任务要求 要求东西方向的红、黄、绿灯和南北方向的红、黄、绿灯按照上面的工作时序进行工作,黄灯亮时应为闪烁状态; 1)南北和东西车辆交替进行,各通行时间24秒 2)每次绿灯变红灯时,黄灯先闪烁4秒,才可以变换运行方向 3)十字路口要有数字显示作为时间提示,以倒计时按照时序要求进行显示; 4)可以手动调整和自动控制,夜间为黄灯闪耀状态(选作:通行时间和黄灯闪亮时间可以在0-99秒内任意设) 3.设计方案选取与论证 依据功能要求,交通灯控制系统应主要由秒脉冲信号发生器、倒计时计数器电路和信号灯转换器组成,原理框图如图1所示。秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁点控制电路的标准时钟信号源。倒计时计数器输出两组驱动信号T5和T0,分别为黄灯闪烁和变换为红灯的控制信号,这两个信号经信号灯转换器控制信号灯工作。倒计时计数电路是系统的主要部分,由它控制信 (1

(2)夜晚工作模式 东西南北各方向黄灯亮,且每秒闪动一次,其他灯不亮。因此总设计图如下图所示: 成。振荡器是计时器的核心,振荡器的稳定度和频率的进度决定了计时器的准确度,可由石英晶体振荡电路或555定时器与RC 组成的多谐振荡器构成。一般来说,振荡器的频率越高,计时的精度就越高,但耗电量将增大,故在设计时,一定根据需要设计出最佳电路。石英晶体振荡器具有频率准确、振荡稳定、温度系数小的特点,但如果精度要求不高的时候可以采用555构成的多谐振荡器。 振荡周期与频率的计算公式为:T=(R 1+2R 2)Cln2=0.7(R 1+2R 2)C,电源电压为Vcc=12V ,其中电路图中C 1的作用是防止电磁干扰对振荡电路的影响,课程设计中要求输出T=1S ,选取电容为C=10nF ,R 1=28.86M Ω,根据振荡周期计算,选择电阻R 2=57.72M Ω。用multisim 进行仿真,仿真图如图所示: ? 555构成的多谐振荡器

交通灯控制电路设计

设计报告 设计者;053班 姓名:丁超群 一.课程设计题目: 交通灯控制电路设计 二.设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支 干道) 车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行间 为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显 示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 三.系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1所示。它主要由计时电路、主控电路、信号灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555定时器;计时计数器是由74LS160来完成、输出四组驱动信号T0和T3经信号灯转换器(4片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。 (图1-1) 2、信号灯转换器

两方向车道的交通灯的运行状态共有4种(因人行道的交通灯和车道的交通灯是同步的,所以不考虑),如图1-2 所示 信号灯状态与车道运行状态如下: S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道 车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道 车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道 车道的绿灯亮,车道通行,人行道禁止通行 S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道 车道的黄灯亮,车道缓行,人行道禁止通行 G1=1:主干道绿灯亮

十字路口的交通灯控制电路设计说明

十字路口的交通灯控制电路设计 摘要 本论文主要阐述了交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。俗话说“要想富,先修路”,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。作为交通控制的重要组成部份的交通信号灯也应国际化。随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。以下就交通灯控制系统的电

路原理、设计和实验调试等问题来进行具体分析讨论。 关键词:交通灯自动控制定时准确报警高速 目录 一、对交通信号灯的控制 (3) 1.1题目要求 (3) 二、交通灯的组成 (4) 2.1交通灯控制系统的原理框图 (4) 2.2交通灯运行状态 (4) 2.2.1一般十字路口的交通灯控制系统的工作过程.. 5 三、单元电路的设计 (8) 3.1秒脉冲发生器 (8) 3.2定时器 (9) 3.3控制器 (11) 3.4译码器 (13) 3.5交通信号灯 (15) 3.6整个交通灯控制系统的布局 (15) 四、PCB的制作 (15) 4.1原理图的绘制 (16) 4.2 PCB的排线 (16) 五、焊接技术 (16) 5.1导线的焊接 (16) 5.1.1焊接操作姿势与卫生 (17) 5.1.2焊接的基本操作 (17) 5.2印制电路板的焊接 (20) 5.3 焊后处理 (21) 六、仿真过程与效果分析 (21) 6.1电路试调 (21) 6.1.1调试前的检查 (22) 6.2调试中注意的事项 (23) 6.3通电后的测试 (24) 6.4操作说明 (24)

交通灯控制电路设计

长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系(部) 电子信息与电气工程系 专业(班级) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称交通灯控制电路设计

设计内容及要求由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。 设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 设计任务: 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s 和20s 计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s 的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置5s 计时显示电路。 设计工作量1、系统整体设计; 2、系统设计及仿真; 3、在Multisim或同类型电路设计软件中进行仿真并进行演示; 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,参考文献、设计总结等。 进度安排起止日期(或时间量)设计内容(或预期目标)备注第一天课题介绍,答疑,收集材料 第二天设计方案论证 第三天进行具体设计 第四天进行具体设计 第五天编写设计说明书 指导老师意见 年月日 教研室 意见 年月日长沙学院课程设计鉴定表 姓名龙欣学号B214 专业电气班级 2

简易交通灯控制逻辑电路设计报告

简易交通灯控制逻辑电路设计报告 目录 一、设计任务和要求 (2) 二、设计目的 (2) 三、设计方案选择 (2) 四、单元电路的选择设计 (5) 1.秒脉冲电路的选择设计 (5) 2.计时器电路的选择设计 (7) 3.状态控制器电路的选择设计 (8) 4.时钟、状态控制判断系统电路的选择设计 (10) 5.状态翻译电路的选择设计 (13) 6.输出调整电路的选择设计 (14) 7.紧急开关设计 (15) 8.信号灯系统电路设计 (16) 五、系统的调试与仿真 (16) 1.调试软件 (16) 2.仿真电路的联成 (16) 3.电路的调试 (18) 六、心得体会 (21) 七、元件列表 (22) 八、参考书 (23)

一、设计任务和要求 设计一个简易交通灯控制逻辑电路,要求: 1、东西方向绿灯亮,南北方向红灯亮,时间15s。 2、东西方向与南北方向黄灯亮,时间5s。 3、南北方向绿灯亮,东西方向红灯亮,时间10s。 4、如果发生紧急事件,可以动手控制四个方向红灯全亮。 二、设计目的 1、进一步熟悉和掌握数字电子电路的设计方法和步骤 2、进一步将理论和实践相结合 3、熟悉和掌握仿真软件的应用 三、设计方案选择 任务要求实际上就是4个状态,不妨设: S1:东西方向绿灯亮,南北方向红灯亮,时间15s; S2:东西方向与南北方向黄灯亮,时间5s; S3:南北方向绿灯亮,东西方向红灯亮,时间l0s; S4:如果发生紧急事件,可以手动控制四个方向红灯全亮。

【表1】主电路状态与指示灯状态转换 主电路要实现S1→S2→S3状态的循环转换,而且可以在任何一个状态进入S4,并能恢复正常工作状态。S1=15s;S2=5s;S3=10s。 方案一 ①、S1-S3使用2个SR锁存器,设置00,01,10三个状态。 ②、S4使用触发器,当出现紧急情况,触发器由“0”进入S4状态“1”后,在解除紧急时,恢复“0”,进入S1状态。 ③、使用4个JK触发器,实现16位计数。 方案二 ①、S1-S3使用2个7473替代的T触发器。JK触发器包含SR触发器和T触发器的功能,J=K=T,则得到T触发器。 ②、S4使用或门、非门实现,从【表1】可知: G1=S3+S4

交通灯控制电路设计方案

交通灯控制电路设计方案1概述 伴随着社会的发展以及人类生活水平的提高,汽车的数量在不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了。为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。 交通信号灯作为一个用来指挥车辆顺利、畅通通过十字路口的装置与我们的生活紧密的联系在一起。设计交通灯控制电路的方法有很多种,由于数字电子技术的逻辑性很强,用它来设计交通灯的控制电路非常方便。而且数字电子技术芯片只要在一定的围输入,都能得到稳定的输出,调试简单,电路的工作也比较稳定。 这次我设计的交通灯控制电路就是通过基本的一些数字芯片组合来对十字路口交通灯的六个不同信号灯的控制,另外还加以倒计时直观显示。这个电路的设计看似起来比较复杂,但它也是由一些基本的电路组成。只要将实现整个电路的基本设计思路和方案确定下来,画出方框图再对个功能方框的电路进行设计,一步步突破,最后整理设计出整个电路的原理图来。 在此对电路的详细设计过程总体概括一下: 首先,画出设计的控制电路的方框图。 其次,对各功能电路的实现进行论证介绍。 再次,对各功能电路的设计进行详细的介绍。 至此这次的课程设计也就完成了,但对电子知识和技能的学习与探索将永不停止。

2. 课程设计任务及要求 2.1设计任务 用常用的中、小规模数字集成电路(参见材料清单)设计一个交通灯控制电路。 自行设计,并完成系统功能的检验。 2.2设计要求 (1)要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行间为20秒; (2)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法); (3)在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; (4)黄灯亮时,要求每秒闪亮一次; (5)同步设置人行横道红、绿灯指示。

相关主题
文本预览
相关文档 最新文档