当前位置:文档之家› (完整版)模拟与数字电子技术课程教学大纲

(完整版)模拟与数字电子技术课程教学大纲

(完整版)模拟与数字电子技术课程教学大纲
(完整版)模拟与数字电子技术课程教学大纲

《模拟与数字电子技术》课程教学大纲

一、课程的性质与主要任务

课程性质:电子技术是工科高等学校机电类的一门必修课,是学习和研究有关电学问题的基础课程。

主要任务:通过本课程的学习,使学生能获得电子技术中必要的基本理论、基本知识和基本技能,具有分析电路和一般电路的设计能力,在培养高级工程技术人才的全

局中,具有增强学生对电子技术工作的适应能力和开发创新能力的作用。

二、课时分配

三、课程教学内容

第一篇模拟电子技术基础

第一章半导体器件基础

目的与要求:通过本章的学习使学生具备必要的半导体知识,能较为深刻地理解半导体器件的工作原理、特性曲线和主要参数。

本章重点:PN结的形成和单向导电性;二极管、三极管、场效应管的结构、工作原理、特性曲线和主要参数.

本章难点:PN的形成、三极管电流放大的基本原理、输入、输出特性曲线的理解、稳压管的稳压特性和场效应管的导电机制。

实验:实验一认识实验

第二章放大电路基础

目的与要求:通过本章的学习使学生掌握放大电路的基本组成原则、掌握放大电路的基本分析方法,还应掌握常用基本放大电路的电路结构、特点和分析过程.通过几个电路

的分析、训练,能训练掌握微变等效电路的分析法.

本章重点:本章是模拟电路中第一个重点章,其重点是:基本放大电路的组成原则和工作原理、偏置稳定共射放大电路和共集放大电路的组成、特点和交直流分析法。

本章难点:电压放大倍数、输入电阻、输出电阻、静态工作点、固定偏置电路工作点不稳定的原因、分压式偏置稳电路的稳定原因、放大电路中的负反馈原理及放大电路的

频率特性概念。

实验:实验二单管共射放大电路

第三章集成运算放大器及其应用

目的与要求:通过本章的学习要求学生较扎实地掌握集成运算放大器的基本组成电路—差动放大器和互补对称功率放大器的组成、工作原理及参数的计算方法。熟练掌握集

成运算放大器的线性应用和简单的非线性应用。

本章重点:本章是模拟电路中第二个重章,本章的重点是:差动放大电路、信号动算电路。

本章难点:差动放大电路中电阻长尾式差动放大电路、恒流源和尾式差动放大电路、集成动放中的信号运算电路(包括比例运放、求和运放、积分运放、微分运放及电压比较

器。

实验:实验三集成运放基本运算电路

第四章正弦波振荡电路

目的与要求:通过本章的学习使学生能较深入地理解正弦波振荡电路的基本知识,深刻理解电路的组成、振荡的起振条件;掌握选频网络的频率特性、正弦波振荡电路的组成

原则;能熟练分析有关振荡电路。

本章重点:正弦波振荡电路的组成、振荡原理和分析方法。

本章难点:文氏电桥振荡电路的组成与分析,变压器反馈式振荡电路的组成与分析,电感、电容三点式振荡电路的组成与分析及四种振荡电路频率的计算。

第五章直流稳压电路

目的与要求:通过本章的学习,使学生能掌握直流稳压电路的组成、各部分的工作原理、能正确分析集成三端稳压器和开关电源的工作原理、能设计一般的直流稳压电路。本章重点:整流电路、电容滤波电路、带有放大环节的串联型稳压电路、集成三端稳压电路、电路开关电源。

本章难点:R L C滤波电路的工作原理、稳压管稳压电路的工作原理、基本串联型稳压电路的工作原理、带有放大环节的串联型稳压电路的工作原理、及有关参数的计算、

开关型稳压电路的工作原理、三端集成稳压器的工作原理。

第二篇数字电子技术

第六章数字电路基础

目的与要求:数字电路是专门研究数字信号的产生、传输和处理的电路,它不同于模拟电路,它主要研究输入量与输出量之间的关系,具有与模拟电路不同的另外一套研究方

法和分析方法。通过本章的学习使学生初步建立起数字电路的基本概念,初步掌

握数字电路的基本概念,二—十,八—十六进制的互换,以及有关BCD码和格

雷码,初步掌握数字电路的基本数学工具逻辑代数的基本运算,能运用逻辑代数

的基本公式和图形化解法解题。

本章重点:计数体制及其相互转达换、逻辑代数的基本运算和基本功公式、逻辑函数的公式化简法与图形化简法。

本章难点:数制与码制、逻辑函数的基本运算公式、逻辑函数的公式化简法、逻辑函数的图形化简法。

第七章组合逻辑电路

目的与要求:通过学习使学生具备分立元件门电路、集成TTL门电路、集成CMOS门电路的基本知识,掌握组合逻辑电路的分析方法,同时对组合电路、编码电路、译码器译码显示电路的设计有一个初步系统的认识。

本章重点:分立元件门电路、集成门电路的逻辑符号、逻辑功能以及组合逻辑电路分析方法,能进行简单电路的设计。

本章难点:组合逻辑电路的分析、组合逻辑电路的设计、编码器、译码器、数据比较器、加法器以及数码比较器的工作原理。

实验:实验四中规模集成组合电路的测试与应用

第八章时序逻辑电路

目的与要求:通过本章的学习使学生能掌握各种触发器的工作原理、逻辑符号及功能表,掌握由触发器组成的寄存器和各种计数器。熟练掌握寄存器和计数器,尤其是计数器工作过程的分析。

本章重点:触发器电路的组成和工作原理,逻辑符号和功能表,计数器的工作原理和分析方法。

本章难点:计数器、寄存器的分析方法。

实验:实验五用集成计数器实现N进制计数器

第九章脉冲信号的产生及波形变化

目的与要求:通过本章的学习使学生能掌握环形振荡器和RC环形振荡器电路的组成和工作原理以及555时基电路的电路结构和应用。

本章重点:RC环形振荡器的工作原理、555构成的单稳态触发器的工作原理、555构成的多谐振荡器的工作原理、555构成的施密特触发器电路的工作原理。

本章难点:RC环形振荡器、555构成的单稳态触发器、555构成的多谐振荡器、555构成的施密特触发器。

实验:实验六555定时器的应用

四、课程教学的基本要求

教学环节包括:课堂讲授及习题课、课外作业、实验、考试考查等。

1、课堂讲授

⑴教学方法:采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导

和鼓励学生通过实践和自学获取知识,增加讨论课以及辅导、答疑等教学环节。

⑵教学手段:在教学中采用插播教学录像。逐渐运用电子教案、多媒体课件等先进的教学手段。

⑶计算机应用:以分析电路为主,尽量多安排学生上机画电路和设计电路。

2、教学辅助资料

电路幻灯片、录像片、习题库及电路原理教学软件。

3、实验环节

⑴实验要求:实验课是电子技术课程中重要的实践环节。要使学生能对具体电路进行测试、调试。以培养学生运用实验的方法研究电路工作原理的能力。实验课可根据教育部颁发的《电子技术课程教学基本要求》选做其中有开放性和创造性的实验6个。

4、习题课安排

⑴单管电压放大电路的静、动态分析及多级放大电路的放大镜倍数的分析中。

⑵集成运算放大器结束后。

⑶直流稳压电源结束后。

⑷组合逻辑电路结束后。

⑸时序逻辑电路结束后。

5、建议教材与教学参考书

[1] 秦曾煌主编《电子技术》(第五版)高等教育出版社,1999年9月第五版

[2] 周连贵主编《电子技术基础》机械工业出版社,1998年初月出版。

[3] 华荣茂邵晓根左全生主编《电路与模拟电子技术教程》电子工业出版社,2001年

1月出版

[4] 华荣茂主编《数字电子技术与逻辑设计教程》电子工业出版社,2001年2月出版

[5] 童诗白主编《数字电路》

6、说明

⑴本课程的教学须由一定工作经验的讲师以上的教师担任,以保证理论知识和实教学的统

一。这样,既可以提高学生的理论水平又能增强学生的动手能力。

⑵本课程需在《高等数学》、《电工技术》开设后,方可开设此课程。

⑶本课程以理论教学为主,也可结合适当的实践教学。

⑷本课程大纲适用于职高、中专入学三年制高职的机电一体化、机械工程及自动化、数控专业。

编制:许纯昕

2002.12.25

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

《模拟电子技术基础》教学大纲#

《模拟电子技术基础》教学大纲 Foundamentals of Analog Electronic Technology 、课程基本信息 二、课程教学目标 模拟电子技术是电类各专业的一门实践性较强的专业基础课。主要研究对象是半导体器件及其组成的各种基本单元电路和由基本单元电路组成的电子装置。单元电路包括分立元件单元电路和集成单元电路。 本课程的基本任务是使学生掌握模拟电子电路的基本工作原理、基本分析方法和基本应用技能使学生能够对各种由集成电路或(和)分立元件构成的基本电路单元进行分析和设计,并能够根据实际要求应用这些单元电路构成模拟电子系统的能力,为后续专业课程的学习奠定坚实的基础。课程内容先进,及时反映了本学科领域的最新科技成果。在课程教学中学生的能力培养始终将贯穿在整个教学过程中,通过学习该门课程使学生逐步提高获取知识的能力,逐步学会和掌握解决工程问题的思维 方法和研究方法。 三、教学基本要求 1器件方面: ①掌握常用的半导体器件的基本工作原理、特性和主要参数,并能合理选择和正确使用; ②了解模拟集成电路的电路结构和工作原理,掌握其主要性能和使用方法。 2、电路方面: ①掌握共射与共集放大器、差动放大器、基本运算放大器等电路结构、工作原理和性能; ②熟悉功率放大器、振荡器、整流器、稳压器以及由集成运算放大器组成的某些功能电路的组成、工作原理、性能和应用; ③熟悉放大器中的负反馈,振荡电路中的正反馈,掌握负反馈的基本形式及其对放大器性能的影响; ④了解阻容耦合放大器的频率响应。 3、分析方法方面 ①掌握放大电路的图解分析法,能确定放大电路的工作点,掌握微变等效电路分析法,能求放大 倍数、输入和输出电阻; ②能对放大电路单元进行近似估算。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

模拟电子技术基础教学大纲

《模拟电子技术基础》教学大纲 第一部分大纲说明 课程编码:13010040 一、课程的性质、目的与任务 《模拟电子技术基础》是电子信息工程和自动化专业必修的一门专业基础课。通过本课程的学习,使学生掌握半导体基本器件的原理、特性及其选用,了解和掌握常用模拟集成器件的外特性及其应用,掌握基本单元电路的组成、工作原理及其重要性能指标的估算,具有一定的读图能力和初步设计电路的能力,具有一定的动手实践能力和解决问题的能力,为后续课程的学习打下良好的基础。 二、与其它课程的联系 学习本课程应具备《高等数学》,《大学物理》和《电路分析》理论方面的基础。后续课程为《数字电子技术基础》,《高频电路》,《电子测量仪器》、《电视原理》和《电器控制技术》等课程。 三、课程的特点 1.对基本概念、基本分析方法的要求并重; 2.本课程理论性和实践性都较强; 3.实验课程是重要的学习与实践环节,课程设计是重要的补充。 四、教学总体要求 1.理解半导体基本器件的原理,特性、主要参数及其选用; 2.掌握信号放大基本单元电路的组成、工作原理及分析计算方法; 3.掌握信号的运算和处理基本单元电路的组成、工作原理及其分析计算方法; 4.掌握信号的发生和转换单元电路的组成、基本原理及其重要技术指标的计算; 5.通过实验课,理解信号的产生、放大、运算等各种不同处理方法及其采用相应不同的单元电路增强实践能力,掌握必要的测试技能和整理实验数据的能力。 五、本课程的学时分配表

六、教材及教学参考资料 教材:《模拟电子技术基础》 主编:童诗白 参考资料:《电子技术基础》 主编:康华光 第二部分教学内容和教学要求

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

《模拟电路》课程教学大纲

《模拟电路》课程教学大纲 一、课程说明 (一)课程名称:模拟电路; 所属专业:微电子科学与工程专业; 课程性质:专业基础课; 学分:4学分。 (二)课程简介、目标与任务; 《模拟电路》是微电子专业本科生在电子技术方面入门性质的基础课,具有自身的体系和很强的实践性。本课程通过对常用半导体器件、模拟电路的学习,使学生获得模拟电子技术方面的基本知识、基本理论和基本技能,为深入学习电子技术及其在专业中的应用打下基础。 (三)先修课程要求,与先修课与后续相关课程之间的逻辑关系和内容衔接; 本课程应开设在高等数学、电路分析(未开设)课程之后,是微电子专业本科生系统学习电子技术知识的基础课程之一。也是后续数字电路、模拟电路实验、集成电路分析与设计等课程的先修课程。 (四)教材:《模拟电子技术基础》童诗白华成英主编(第四版) 高等教育出版社 参考书目:《模拟电子技术基础简明教程》清华大学电子学教研室编 高等教育出版社 《电于技术基础》(模拟部分) 康华光主编 高等教育出版社 《电子线路线性部分》谢嘉奎主编 高等教育出版社 二、课程内容与安排 第一章常用半导体元器件(要求列出章节名) 第一节半导体基础知识 第二节半导体二极管 第三节双极型晶体管 第四节场效应管 第五节晶闸管 (一)教学方法与学时分配 课堂教学,8学时 (二)内容及基本要求

主要内容:半导体基础知识;二极管的结构、伏安特性及主要参数;双极型晶体管的结构、伏安特性及主要参数;场效应管的结构、伏安特性及主要参数;晶闸管的结构、 伏安特性及主要参数。 【重点掌握】:PN结特性及PN结方程;二极管、晶体管、场效应管、晶闸管的伏安特性。 【了解】:二极管、晶体管、场效应管、晶闸管的结构及主要参数。 【难点】:二极管、晶体管、场效应管、晶闸管的伏安特性。 第二章基本放大电路 第一节放大电路的组成及工作原理 第二节放大电路的分析方法 第三节放大电路静态工作点的稳定 第四节共集电极放大电路和共基极放大电路 第五节场效应管放大电路 (一)教学方法与学时分配 课堂教学,12学时 (二)内容及基本要求 主要内容:放大的概念;放大电路的组成及工作原理;放大电路的性能指标;放大电路的分析方法:直流通路与甲流通路,图解法,微变等效电路法; 放大电路静态工作点的稳定;晶体管共集电极放大电路和共基极放大 电路;场效应管放大电路。 【重点掌握】:放大电路的分析方法:直流通路与交流通路,图解法,微变等效电路法。 【掌握】:放大电路的组成及工作原理;放大电路的性能指标;放大电路静态工作点的稳定;晶体管共集电极放大电路和共基极放大电路;场效应管放大 电路。 【了解】:放大的概念。 【难点】:图解法,微变等效电路法。 第三章多级放大电路 第一节多级放大电路的耦合方式 第二节多级放大电路的动态分析 (一)教学方法与学时分配 课堂教学,4学时 (二)内容及基本要求

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础模拟试题A_及答案

74LS191功能表 LD CT D U/CP D0 D1D2D3Q0 Q1Q2Q3 0 ×××d0d1d2d3 1 0 0 ↑××××1 0 1 ↑××××1 1 ××××××d0d1d2d3加法计数减法计数 保持 一.选择题(16分) 1.已知A B A B B A Y+ + + =,下列结果正确的是() a.Y=A b.Y=B c.A B Y+ =d.Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是() a.A=(1010.1)2b.A=(0A.8)16 c.A=(12.4)8d.A=(20.21)5 3.下列说法不正确的是() a.当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b.三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c.OC门输出端直接连接可以实现正逻辑的线与运算 d.集电极开路的门称为OC门 4.以下错误的是() a.数字比较器可以比较数字大小 b.半加器可实现两个一位二进制数相加 c.编码器可分为普通全加器和优先编码器 d.上面描述至少有一个不正确 5.下列描述不正确的是() a.触发器具有两种状态,当Q=1时触发器处于1态 b.时序电路必然存在状态循环 c.异步时序电路的响应速度要比同步时序电路的响应速度慢 d.主从JK触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk触发器),触发器当前状态Q3 Q2 Q1为“100”,请问在时钟作用下,触发器下一状态(Q3 Q2 Q1)为() a.“101”b.“100”c.“011”d.“000”7.电路如下图,已知电路的当前状态Q3Q2Q1Q0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q3 Q2 Q1 Q0)为() a.“1100”b.“1011” c.“1101”d.“0000” 8.下列描述不正确的是() a.EEPROM具有数据长期保存的功能且比EPROM在数据改写上更方便 b.DAC的含义是数-模转换、ADC的含义是模数转换 c.积分型单稳触发器电路只有一个状态 d.上面描述至少有一个不正确 二.判断题(9分) 1.TTL输出端为低电平时带拉电流的能力为5mA() 2.TTL、CMOS门中未使用的输入端均可悬空() 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。()5.设计一个3进制计数器可用2个触发器实现() 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n的计数器。所以又称为移存型计数器() 7.判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现() 8.施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态() 9.DRAM需要定期刷新,因此,在微型计算机中不如SRAM应用广泛() 三.计算题(8分) 1、在如图所示电路中,U cc=5V,U BB=9V,R1=5.1kΩ,R2=15kΩ,R c=1kΩ,β=40,请计算U I 分别为5V,0.3V时输出U O的大小?。

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

模拟电子技术实验II指导书(2017版)

模拟电子技术实验II 教学指导书 课程代码:021********* 湘潭大学 信息工程学院 2017年10月8日

前言 一、实验总体目标 本课程为电子信息类专业本科生的学科基础课程。通过实验培养学生理论联系实际的能力,提高学生的动手能力、分析问题和解决问题的能力。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的模拟电路构建方法和实验调试的基本技能。 1.掌握常用电子仪器的选用及测试方法。 2.针对简单的模拟电路,能正确调试电路参数,掌握基本参数测试与功能分析方法。 3.针对简单的工程问题,能依据实验故障现象,分析问题并解决问题。 4.能正确观察实验现象、记录实验数据、并自拟部分数据表格,并通过正确分析实验结果,得出结论,撰写符合要求的实验报告。 5. 具备电子电路仿真软件的初步应用能力。 二、适用专业年级 电子信息类专业二年级本科学生。 三、先修课程 大学物理、电路分析基础、模拟电子技术实验II 四、实验项目及课时分配 五、实验环境 模拟电路实验台:72套。主要配置:多种模拟电路实验模块、直流电压源、直流电压表、万用表、信号发生器、示波器、交流毫伏表等,仿真实验配置:PC机、Multisim 10电路仿真分析仿真软件。 六、实验总体要求 1、每次实验前预习实验原理,做好实验方案设计和理论计算,仿真分析观察与测试,提交实验预习报告; 2、正确使用电压表、万用表、信号发生器、示波器、交流毫伏表等实验设备; 3、按电路图联接实验线路和合理布线,能初步分析并排除故障; 4、具有根据实验任务确定实验方案、设计实验线路和选择仪器设备的初步能力; 5、认真观察实验现象,正确读取实验数据和记录实验波形并加以检查和判断,分析实验结果,正确撰写实验报告。

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码

相关主题
文本预览
相关文档 最新文档