当前位置:文档之家› 使用Quartus-Modelsim联合仿真

使用Quartus-Modelsim联合仿真

使用Quartus-Modelsim联合仿真
使用Quartus-Modelsim联合仿真

使用Quartus与Modelsim联合仿真 本文档主要介绍如何在Quartus环境下直接调用出Modelsim进行设计验证。

首先检查Quartus菜单Tools->Options窗口中,EDA Tool Options->Modelsim-Altera 栏中已填入Modelsim的安装路径,如:C:/Altera/modelsim_ae/win32aloem。

其次这里认为,设计文件/模块(*.v)和testbench的编写已经完成,并且编译无误。

对于testbench的编写,可以直接在Quartus环境下新建verilogHDL/VHDL文件,编写完成后保存成*.v或*.vt的文件。 当然,也可以使用quartus自动生成testbench模板,改写其中内容,具体方法请自行查阅。

1.打开Quartus,打开使用的工程,然后检查仿真关联设置:

然后你会看到:

2.testbench的设置

设置完成后,全编译。

编译完成后,点击:

自动启动modelsim,你将看到:

你还可以查看Modelsim控制台:

编译完成后,点击:

自动启动modelsim,你将看到:

你还可以查看Modelsim控制台:

仔细观察,时序仿真和功能仿真还是有很大差别的哦~~

Ansoft和Simplore联合仿真注意事项

1.Ansoft和Simplore联合仿真时,如果Ansoft中的模型类型是Transient,则必 须勾选Maxwell 2D -> Design Settings -> Advanced Product Coupling菜单中的Enable transient-transient link with Sim,否则在检查时会产生Cannot find the matching inductor in the imported file 这个错误。 2.Ansoft和Simplore联合仿真时,Simplore软件控制着仿真步长,也控制着 Ansoft模型的旋转速度(或者称线速度)。 3.Ansoft和Simplore联合仿真的必要前提: 1)Ansoft模型必须可以求解(即可以进行运算)。 2)Ansoft模型必须含有机械运动(原文: must have mechanical motion) 3)Ansoft模型必须至少含有一个外部类型(external类型)的绕组。 4)Ansoft模型名不能含有非法字符(如空格) 5)建议:在与Simplore联合仿真之前,最好保证Ansoft模型可以单独进行 运算(即可以Solve without external windings) 4.Ansoft和Simplore联合仿真时,Ansoft软件内部设定的开始和停止时间会发 生变化(即由Simplore控制) 5.Ansoft的仿真停止时间必须大于或等于Simplore的仿真停止时间。 6.Ansoft和Simplore联合仿真,Ansoft模型必须含有:几何图形,运动的Band (moving band),材料,边界条件,external 类型的绕组,剖分。

泰克示波器的使用方法-1

示波器的使用方法 示波器虽然分成好几类,各类又有许多种型号,但是一般的示波器除频带宽度、输入灵敏度等不完全相同外,在使用方法的基本方面都是相同的。本章以SR-8型双踪示波器为例介绍。 (一)面板装置 SR-8型双踪示波器的面板图如图5-12所示。其面板装置按其位置和功能通常可划分为3大部分:显示、垂直(Y轴)、水平(X轴)。现分别介绍这3个部分控制装置的作用。 1.显示部分主要控制件为: (1)电源开关。 (2)电源指示灯。 (3)辉度调整光点亮度。 (4)聚焦调整光点或波形清晰度。 (5)辅助聚焦配合“聚焦”旋钮调节清晰度。 (6)标尺亮度调节坐标片上刻度线亮度。 (7)寻迹当按键向下按时,使偏离荧光屏的光点回到显示区域,而寻到光点位置。 (8)标准信号输出 1kHz、1V方波校准信号由此引出。加到Y轴输入端,用以校准Y 轴输入灵敏度和X轴扫描速度。 2.Y轴插件部分 (1)显示方式选择开关用以转换两个Y轴前置放大器Y A与YB 工作状态的控制件,具有五种不同作用的显示方式:

“交替”:当显示方式开关置于“交替”时,电子开关受扫描信号控制转换,每次扫描都轮流接通Y A或YB 信号。当被测信号的频率越高,扫描信号频率也越高。电 子开关转换速率也越快,不会有闪烁现象。这种工作状态适用于观察两个工作频率较高的信号。 “断续”:当显示方式开关置于“断续”时,电子开关不受扫描信号控制,产生频率固定为200kHz方波信号,使电子开关快速交替接通Y A和YB。由于开关动作频率高于被测信号频率,因此屏幕上显示的两个通道信号波形是断续的。当被测信号频率较高时,断续现象十分明显,甚至无法观测;当被测信号频率较低时,断续现象被掩盖。因此,这种工作状态适合于观察两个工作频率较低的信号。 “Y A”、“YB ”:显示方式开关置于“Y A ”或者“YB ”时,表示示波器处于单通道工作,此时示波器的工作方式相当于单踪示波器,即只能单独显示“Y A”或“YB ”通道的信号波形。 “Y A + YB”:显示方式开关置于“Y A + YB ”时,电子开关不工作,Y A与YB 两路信号均通过放大器和门电路,示波器将显示出两路信号叠加的波形。 (2)“DC-⊥-AC” Y轴输入选择开关,用以选择被测信号接至输入端的耦合方式。置于“DC”是直接耦合,能输入含有直流分量的交流信号;置于“AC”位置,实现交流耦合,只能输入交流分量;置于“⊥”位置时,Y轴输入端接地,这时显示的时基线一般用来作为测试直流电压零电平的参考基准线。 (3)“微调V/div” 灵敏度选择开关及微调装置。灵敏度选择开关系套轴结构,黑色旋钮是Y轴灵敏度粗调装置,自10mv/div~20v/div分11档。红色旋钮为细调装置,顺时针方向增加到满度时为校准位置,可按粗调旋钮所指示的数值,读取被测信号的幅度。当此旋钮反时针转到满度时,其变化范围应大于2.5倍,连续调节“微调”电位器,可实现各档级之间的灵敏度覆盖,在作定量测量时,此旋钮应置于顺时针满度的“校准”位置。 (4)“平衡” 当Y轴放大器输入电路出现不平衡时,显示的光点或波形就会随“V/div”开关的“微调”旋转而出现Y轴方向的位移,调节“平衡”电位器能将这种位移减至最小。 (5)“↑↓ ” Y轴位移电位器,用以调节波形的垂直位置。 (6)“极性、拉Y A” Y A通道的极性转换按拉式开关。拉出时Y A 通道信号倒相显示,即显示方式(Y A+ YB )时,显示图像为YB - Y A。 (7)“内触发、拉YB ” 触发源选择开关。在按的位置上(常态)扫描触发信号分别

GOS-620双踪示波器的使用方法

GOS-620双踪示波器的使用方法 GOS —620双轨迹示波器面板布局图如下图所示。 一、前面板说明 CRT 显示屏 (2) INTEN :轨迹及光点亮度控制钮; (3) FOCUS :轨迹聚焦调整钮; (4) TRACE ROTATION :使水平轨迹与刻度线成平行的调整钮; (6) POWER :电源主开关,压下此钮可接通电源,电源指示灯5会发亮; × 1 2 3 4 5 × 6 18 20 11 72325 24 28 2729 32 30 31 × 98101213141516171922 21 26CRT CAL(2V P-P ) INTEN FOCUS TRACE POWER

再按一次,开关凸起时,则切断电源。 (33) FILTER:滤光镜片,可使波形易于观察; VERTICAL垂直偏向 (7)(22) VOLTS/DIV:垂直衰减选择钮,以此钮选择CH1及CH2的输入信号衰减幅度,范围为5mV/DIV 5V/DIV,共10档; (10)(18) AC-GND-DC:输入信号耦合选择按键钮; AC:垂直输入信号电容耦合,截止直流或极低频信号输入; GND:按下此键则隔离信号输入,并将垂直衰减器输入端接地,使之产生一个零电压参考信号; DC:垂直输入信号直流耦合,AC与DC信号一齐输入放大器。 (8)(X)输入:CH1的垂直输入端,在X-Y模式下,为X轴的信号输入端; (9)(21) V ARIABLE:灵敏度微调控制,至少可调到显示值的1/2.5。在CAL位置时,灵敏度即为档位显示值。当此旋钮拉出时(×5 MAG状态),垂直放大器灵敏度增加5倍; (20) CH2(Y)输入:CH2的垂直输入端,在X-Y模式下,为Y轴的信号输入端; (11)(19) POSITION:轨迹及光点的垂直位置调整钮; (14) VERT MODE:CH1及CH2选择垂直操作模式; CH1或CH2:通道1或通道2单独显示; DUAL:设定本示波器以CH1及CH2双频道方式工作,此时并可切换ALT/CHOP模式来显示两轨迹; ADD:用以显示CH1及CH2的相加信号;当CH2 INV键16为压下状态时,即可显示CH1及CH2的相减信号; (13)(17) CH1& CH2 DC BAL:调整垂直直流平衡点; (12) ALT/CHOP:当在双轨迹模式下,放开此键,则CH1&CH2以交替方式显示。(一般使用于较快速之水平扫描文件位)当在双轨迹模式下,按下此键,则CH1&CH2以切割方式显示。(一般使用于较慢速之水平扫描文件位); (16) CH2 INV:此键按下时,CH2的讯号将会被反向。CH2输入讯号于ADD模式时,CH2触发截选讯号( Trigger Signal Pickoff )亦会被反向。 TRIGGER触发 (26) SLOPE:触发斜率选择键; “+”:凸起时为正斜率触发,当信号正向通过触发准位时进行触发;

Maxwell与Simplorer联合仿真方法及注意问题

三相鼠笼式异步电动机的协同仿真模型实验分析 本文所采用的电机是参照《Ansoft 12在工程电磁场中的应用》一书所给的使用RMxprt输入机械参数所生成的三相鼠笼式异步电动机,并且由RMxprt的电机模型直接导出2D模型。由于个人需要,对电机的参数有一定的修改,但是使用Y160M--4的电机并不影响联合仿真的过程与结果。 1.1 Maxwell与Simplorer联合仿真的设置 1.1.1Maxwell端的设置 在Maxwell 2D模型中进行一下几步设置: 第一步,设置Maxwell和Simplorer端口连接功能。右键单击Model项,选择Set Symmetry Multiplier项,如图1.1所示,单击后弹出图1.2的对话框。 图1.1 查找过程示意图

图1.2 设计设置对话框 在对话框中,选择Advanced Product Coupling项,勾选其下的Enable tr-tr link with Sim 。至此,完成第一步操作。 第二步,2D模型的激励源设置。单击Excitation项的加号,显示Phase A、Phase B、Phase C各项。双击Phase A项,弹出如图1.3所示的对话框。 图1.3 A相激励源设置 在上图的对话框中,将激励源的Type项设置为External,并勾选其后的Strander,并且设置初始电流Initial Current项为0。Number of parallel branch项按照电机的设置要求,其值为1。参数设置完成后,点击确定退出。 需要说明的一点是,建议在设置Maxwell与Simplorer连接功能即第一步之前,记录电压激励源下的电阻和电感。事实上,这里的电组和电感就是Maxwell 2D计算出的电机的定子电阻与定子电感。这两个数据在外电路的连接中会使用到,在后面会详细说明。 至此,Maxwell端的设置完毕。 1.1.2 Simplorer端的设置 Simplorer端的设置,主要是对电机外电路的设置,具体的电路会在空载实验和额定负载实验中详细给出,这里不再赘述。

ModelSim作布局布线后仿真的库问题

ModelSim作布局布线后仿真的库问题 Modelsim是目前最流行的应用最广泛的FPGA仿真器,是Mentor Graphics的子公司Model T echnology开发的。因为Modelsim好学易用,调试方便,仿真速度快,功能强大,所以很多芯片厂商的开发系统都OEM Mentor Graphics公司的Modelsim仿真器,包括Xilinx,Alter a,Lattice和Actel等。Modelsim是一个单内核仿真器,同一个内核可以进行VHDL仿真、V erilog仿真和VHDL/Verilog混合仿真;支持所有的VHDL和Verilog标准;采用直接编译技术 (Direct-Compiled),大大提高了HDL编译和仿真速度。 Modelsim支持三个层次的仿真:RTL仿真、综合后仿真和布局布线后仿真。为了加快仿真速 度,一般情况下设计中调用的库都是已经进行编译过的,然后对设计进行仿真,此时仿真器直接调用库中已经编译过的单元,而不是再次对设计中的单元模块进行编译。所以如果要对设计进行综合后仿真和布局布线后仿真,必须先对设计中调用的库进行编译处理。这也是本文的重点内容。因为每个厂商的库不一样,而且同一个厂商,不同的器件库就有可能不同,所以下面就目前国内比较常用的几个公司的芯片的库问题分别进行探讨。1.Xilinx公司的器件: 其库的处理方式有两种,一种是在Xilinx的网站上,我们可以下载到压缩文件xilinx_lib _4.zip,解压缩后有一个xilinx_lib_4.tcl(将来的版本可能会升级)。单独运行Models im,然后在工具菜单中有一项是执行宏(这里Modelsim5.5 和5.6、5.7有一些差异,不过在5.5中仍可以找到执行宏Execute Macro),运行xilinx_lib_4.tcl后可以看到。 你可以根据你的厂商软件版本选择,指定Xilinx 的安装路径,在Install Path中指定你编 译后的数据将要放的目录位置(可以是任何一个目录,最好是设计者数据盘中的某个目录,因为库编译一次就可以了,重新安装软件不需要重新编译库)。对于Xilinx作布局布线后仿真只需要simprim库即可;如果要作综合后仿真,就需要编译Unisim库;如果设计中调用了 CoreGen产生的核就需要编译CoreGen库。这应该根据设计者的具体情况进行选择。 如果编译完了后,在Modelsim中库会自动变为标准库(注意:Xilinx提供的早期脚本文件作不到这一点)。所谓标准库,也就是说这个库会和IEEE这些库一样,当Modelsim启动时,这 些库会自动加载,里面的单元在VHDL代码中可以随意调用。比如:在布局布线后的VHDL 文 件中大家可以看到Library simprim这样的语句。当然也可以自己手动改变这些库的性质,只用修改在Modelsim安装路径下的Modelsim.ini文件即可。比如:修改后的Modelsim.ini 可以看到为 [Library] std = $MODEL_TECH/../std ieee = $MODEL_TECH/../ieee verilog = $MODEL_TECH/../verilog std_developerskit = $MODEL_TECH/../std_developerskit synopsys = $MODEL_TECH/../synopsys modelsim_lib = $MODEL_TECH/../modelsim_lib

modelsim仿真详细过程

由于我们只需要了解仿真的完整过程,所以不需要自己写源文件和测试文件(也称为testbench)。一下就是简单的源文件和测试文件(亲自测试过)。 //源文件 module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule //测试文件 `timescale 1ns/1ns `include"./compare.v" module comparetest; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1;

#100 a=1;b=0; #100 $stop; end compare compare1(.equal(equal),.a(a),.b(b)); endmodule 有了源文件和测试文件下面就开始用modelsim进行仿真了。 步骤一:新建工程和.v文件(也就是源文件和测试文件) 打开modelsim软件,点击file,选择new—>project 然后就会弹出下面窗口: 然后在project name那一栏写上工程名(随便去,一般是字母),在project location选择工程路径(路径最好没有中文,听说的),然后点OK。进入下个界面:

然后点击小框里面的“create new file”.弹出界面: 在file name中写下源文件名,由于这是比较两数的大小,我取为:compare。在“add file as type”中选择verilog,点OK,然后有:

双踪示波器的使用

3.12 双踪示波器的使用 示波器是一种用来展示和观测电信号的电子仪器,它可以直接测量信号电压的大小和周期,因此,一切可以转化为电压的电学量、非电学量(如电流、电功率、阻抗、温度、位移、压力、磁场等)以及它们随时间变化的过程都可用示波器来观测。由于电子射线的惯性小,又能在荧光屏上显示出可见的图像,所以特别适用于观测瞬时变化的过程,这是示波器重要的优点。 本实验通过使用双踪示波器观察电信号波形及测量电信号的电压及频率,了解示波器图像跟踪测量技术(请阅读4.2.1节),掌握示波器的原理及使用方法(请阅读附录Ⅰ中有关示波器的内容)。 【目的与要求】 1.了解示波器的基本结构和工作原理、掌握示波器的调节和使用; 2.掌握用示波器观察电信号波形的方法; 3. 掌握用示波器测量电信号的电压和频率的方法; 4. 了解示波器图像跟踪测量技术。 【仪器与装置】 SR-071A型双踪示波 器、XFD-6型低频讯号发生 器、整流滤波线路板等。 【原理】 示波器的规格和型号 很多,但不管哪种示波器都 有图3.12-1所示的几个基本 组成部分:示波管、竖直放 大器(Y轴放大器)、水平放 大器(X轴放大器)、扫描发 生器、触发同步和直流电源 等部分。 1.示波管的基本结构 示波管的基本结构如图3.12-2所示,主要包括电子枪、偏转系统和荧光屏三个部分,全都密封在玻璃外壳内,里面抽成高真空。

(1)电子枪:由灯 丝、阴极、控制栅极、 第一阳极和第二阳 极五部分组成,阴极 是一个表面涂有氧 化层的金属圆筒,被 灯丝通电加热后发 射电子。控制栅极是 一个顶端有小孔的 圆筒,套在阴极外 面,它的电位比阴极 稍低,对阴极发射出 来的电子起控制作用,只有初速度较大的电子才能穿过栅极顶端的小孔,然后在阳极加速下奔向荧光屏,示波器面板上的“亮度”调整旋钮就是通过调节栅极电位以控制射向荧光屏的电子流密度从而改变屏上光斑的亮度。阳极电位比阴极电位高很多,电子被它们之间的电场加速形成射线。当控制栅极、第一阳极与第二阳极之间电位调节合适时,电子枪内的电场对电子射线有聚焦作用所以第一阳极也称聚焦阳极,第二阳极电位更高,又称加速阳极,面板上的“聚焦”调节旋钮,就是调节第一阳极电位,使荧光屏上的光斑成为明亮、清晰的小圆点,有的示波器还有“辅助聚焦”,实际是调节第二阳极电位。 (2)偏转系统:它由两对互相垂直的偏转板组成,一对竖直偏转板,一对水平偏转板,在偏转板上加上适当电压,当电子束通过时运动方向将发生偏转,从而使电子束在荧光屏上产生的光斑位置也发生改变。 (3)荧光屏:屏上涂有荧光粉,电子打上去它就发光,形成光斑。不同材料的荧光粉发光的颜色不同,发光过程的延续时间(一般称为余辉时间)也不同。荧光屏前有一块透明的、带刻度的坐标板,供测量光点位置用,在性能较好的示波管中,通常将刻度线直接刻在屏玻璃内表面上,使与荧光粉紧贴在一起,以消除视差,使光点位置的测量更准确。 2.示波器显示波形的原理 (1)扫描作用: 如果只在竖直偏转板上加一交变的正弦电压,则电子束的亮点将随电压的变化在竖直方向来回运动,如果电压频率较高,则看到的将是一条竖直亮线。如图3.12-3(a)所示。

ModelSim软件仿真步骤教程

使用ModelSim模擬驗證HDL code 1.在模擬前先準備好我們要模擬的電路檔案(Verilog HDL,TestBench,…) 2. 打開ModelSim,新建一個Project,鍵入Project name 按OK。此處我們的library name 為default library name “work”不必更改。 3.然後再加入我們所要模擬的電路檔案(若尚未準備,可開啟新檔案再將code 鍵入)選Add Existing File,將我們已編輯好的檔案加入。 將我們所需要的檔案加入,按Browse選擇我們所需檔案count.v,

count_test.vt),按下OK。 再將先前所開啟的增加檔案的視窗關閉,按close。 4.按下compile all。

Compile成功沒有顯示出錯誤訊息, 則開始模擬波形 5.按下Simulation, 選擇檔案所在的Library (work), 點選TestBench的Module Name t_Gap_finder 按OK 6.承接上步驟將會跳出以下視窗,若要將所有訊號加入波型中觀察則選擇在 testbench的module name: count_tst按滑鼠右鍵選擇→ Add → Add to Wave。

7.在波型畫面按下Run All開始模擬 跑完後會跳出下面視窗選擇否則可觀察模擬波形,若按下是則會將ModelSim關閉。

8.觀察波形圖是否與功能符合,若與設計不符則修改設計並重複執行Step 4到 Step 8 Testbench語法 `timescale 1 ps/ 1 ps 前面的1ps代表程式中最小的時間單位 後面的1ps代表運算的精準度

simplorer-maxwell联合仿真实例

T1T2T3T4

Co-simulation with Maxwell Technical Background The co-simulation is the most accurate way of coupling the drive and the motor model. The advantage of this method is the high accuraty, having the real inverter currents as source in Maxwell and the back emf of the motor on the inverter currents as source in Maxwell, and the back-emf of the motor on the inverter side. The transient-transient link enables the use to pass data between Simplorer and Maxwell during the simulation: Maxwell2D and Maxwell3D can be used Simplorer and Maxwell will run altogether Simplorer is the Master, Maxwell is the slave At a given time step, the Winding currents and the Rotor angle are passed from Simplorer to Maxwell, the Back EMF and the Torque are passed from Maxwell to Simplorer The complexity of the drive system and of the mechanical system is not The complexity of the drive system and of the mechanical system is not limited Insights on the coupling Method The Simplorer time steps and the Maxwell time steps don’t have to be the same. Usually, Simplorer requires much more time steps than Maxwell. Assume the current simulation time is t Simplorer, based on the previous time steps, gives a forward meeting time t1to Maxwell where both simulators will exchange data. Between t0and t1, both code run by themselves. At t 1, both codes exchange data. If during the t0-t1period, some event appears on Simplorer side (state graph transition, large change of the pp p(g p,g g dynamic of the circuit), Simplorer will roll back to t0and set a new forward meeting time t1’, t1’< t1.

模拟电子实验示波器的使用

一、实验目的 1. 学习电子电路实验中常用的电子仪器一示波器、函数信号发生器、交流数字毫伏表等主要技术指标、性能及正确使用方法。 2. 初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 、实验设备 三、注意事项 1. 使用前对电源、各旋钮位置进行检查。 2. 使用时要避免碰撞,接入探头的电压不应超过说明书中所规定的最大的输入电压值(注意的是:一般说明书中给出的这一电压值往往是指峰峰值),以免损坏示波器。 3. 若测试点的电压较高,应在断电的情况下,将探头的探针和鳄鱼夹事先与被测试的两个点连接好,再通过电测试,选择可避免在测试中万一因不慎而发生意外事故的可能。 4. 开启示波器后,应注意使辉度和聚集适中(不宜过亮),且波形也不应长时间地停留在一个区域中,以免灼伤荧光屏。 5. 在使用中出现在下列情况之一,即应停机,侍修复后再使用:①开机后保险线即烧断; ②电子官式示波器内的电风扇不转;③示波器内冒烟;④无光点显示或无扫描线;⑤波形跳动不止,或图形失真。 6. 示波器关闭后再用,应至少待了3-5分钟后再开启--以免损害示波管。 7. 使用后应即时关闭其电源和被测电路的电源;然后拔下示波器的电源插头,拆除测试用临时线,全地搬走开妥善地放置好示波器--以免偶然事故的发生. 四、实验原理及计算 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手观察与读 数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如下图所示。接线时应注

示波器的调节和使用

示波器的调节和使用 我们以型号为YB4300系列的双踪示波器为例说明其一般使用方法。YB4300系列双踪示 波器的型号根据频率不同主要有YB4320G 、YB4340G 、YB4360G 。 一、示波器的调节和使用 示波器有多种型号,面板形状也各不相同,但其结构与功能大同小异。熟练掌握示波 器的使用,首先应该了解示波器面板上各个旋钮的功能。本书以YB4320G 型示波器为例进行 说明,如图1所示。该示波器的前面板如图2所示,各部分功能介绍如下: 1、主机电源 (9)电源开关(POWER):将电源开关按键弹出即为“关”位置,将电源线接入,按电源 开关键,接通电源。 (8)电源指示灯:电源接通时,指示灯亮。 图1 YB4320G 型示波器外形结构 图2 YB4320G 型示波器操作面板示意图

(2)辉度控制(INTENSITY):顺时针方向旋转旋钮,扫描线辉度增加。 (4)聚焦控制(FOCUS):用辉度控制钮将亮度调至合适的标准,然后调节聚焦控制钮直至光迹达到最清晰的程度。虽然调节亮度时,聚焦电路可自动调节,但聚焦有时也会轻微变化,如果出现这种情况,需重新调节聚焦旋钮。 (5)基线旋转(TRACE ROTATION):用于调节扫描线使其和水平刻度线平行,以克服外磁场变化带来的基线倾斜,需要使用螺丝刀调节。 (45)显示屏:仪器的测量显示最终端。 (3)延迟扫描辉度控制钮(B INTEN):顺时针方向旋转此钮,增加延迟扫描B显示光迹亮度。 (1)校准信号输出端子(CAL) 2、垂直方向部分(VERTICAL) (13)通道1输入端[CH1 INPUT(X)]:被测信号由此输入y1通道。当示波器在X-Y 方式时,输入到此端的信号作为X轴信号。 (17)通道2输入端[CH2 INPUT(X)]:被测信号由此输入y2通道。当示波器在X-Y 方式时,输入到此端的信号作为Y轴信号。 (11)、(12)、(16)、(18)交流-直流-接地(AC、DC、GND): 输入信号与放大器连接方式选择开关: 交流(AC):放大器输入端与信号连接由电容器来耦合; 接地(GND):输入信号与放大器断开,放大器的输入端接地。 直流(DC):放大器输入与信号输入端直接耦合。 (10)、(15)衰减器开关(VOLTS/DIV) 用于选择垂直偏转系数,共12档。如果使用的是10:1的探极,计算时将幅度×10。 (14)、(19)垂直微调旋钮(VARIBLE) 垂直微调用于连续改变电压偏转系数,此旋钮在正常情况下应位于顺时针方向旋到底的位置。将旋钮逆时针旋转到底,垂直方向的灵敏度下降到2.5倍以上。 (44)断续工作方式开关 CH1 CH2二个通告按断续方式工作,断续频率为250kHz,适用于低扫速。 (43)、(40)垂直移位(POSITION) 调节光迹在屏幕中的垂直位置。 (42)垂直方式工作开关(VERTICAL MODE) 用于选择垂直偏转系统的工作方式 通道1选择(CH1):屏幕上仅显示CH1的信号; 通道2选择(CH2):屏幕上仅显示CH2的信号; 双踪选择(DUAL):屏幕上显示双踪,自动以交替或断续方式,同时显示CH1和CH2上的信号; 叠加(ADD):显示CH1和CH2输入信号的代数和。 (39)CH2极性开关(INVERT):按此开关时CH2显示反相信号。 (48)CH1信号输出端(CH1 OUTPUT):输出约100mV/div的通道1信号。当输出端接50Ω匹配终端时,信号衰减一半,约50mV/div,该功能可用于频率计显示等。 3、水平方向部分(HORIZONTAL) (20)主扫描时间系数选择开关(TIME/DIY) 用于选择扫描时间因数,从0.1μs~0.5s/div范围共20档。 (24)扫描微调控制键(VARIBLE) 此旋钮以顺时方针方向旋转到底时,处于校准位置,扫描由Time/div开关指示。

Modelsim 仿真步骤总结

Modelsim 仿真步骤总结 Modelsim 仿真主要有以下三个方面:各版本的方法大体一致。(1)建立库并映射库到物理目录; (2)编译源代码(包括testbench); (3)执行仿真; 下面具体演示每一步的操作方法及流程,为力求简洁,其中有多种操方法的只介绍一种。 一)建立库的演示: 步骤为——启动modelsim>点击file菜单>(Change Directory)>new>(project)>library.注括号内的步骤非必须。 1.1)启动modelsim; (1.2)点击file菜单,此时若需更改路径,可以点击其中的Change Directory.(注modelsim会自动默认路径。我们也可以在1.1步骤之前建立一个文件夹用于modelsim的工程,再将路径更改到我们新建的文件夹路径。)

(1.3)点击file子菜单中的new,选择project用于新建立一个modelsim工程。(虽然此步非必须,但是建立一个新工程有益 于接下来整个仿真文件的管理。)

1.4)点击file子菜单new,再点击new下的library,用于建立 一个库。(仔细观察1.3步,新建工程的同时亦可建立库)。 至此,modelsim仿真第一大步建立库的工作完毕。

二)编译源代码(包括testbench): 大家都已知道在quartus中编译源代码的方法,这里说明一下在modelsim中编译源代码及testbench的方法。 步骤为——建立一个新工程(同时建立库)后,会自动提示你四个选项。如图: 选create new file后提示对话框,(也可以在有源文件的情况下选择add existing file到工程中。例如我们用quartus写好文件后,可以用此方法将quartus中的文件添加到modelsim工程中来)让你命名你即将创建的新文件,完毕后,会自动出现一个空白的编辑窗口,在那里输入源代码和testbench。(提示:这样编写完后点保存,便可以直接保存到上面设臵好的work库中。比起另一种方法,不建工程直接建库,再通过菜单栏source添加源

modelsim建库流程_vip

Modelsim建库流程 ——在已有的IEEE库中加入新的库文件 1.将所需要的库对应的vhd文件拷贝至C:\Actel\Libero_v9.0\Model\vhdl_src\ieee 文件夹下; 2.确保modelsim不是处于仿真状态下: 3.编译库中的文件,首先需要选中库,确认如下

4.可以在vhdl模块中调用ieee.math_utility_pkg文件了。 采用上述方法,可以加入math_utility_pkg和fixed_pkg等vhdl-93中没有包括的库文件,增加vhdl语言支持的综合功能。 5.点击modelsim项目文件中的presynth.mpf文件,可以打开这个modelsim项目,然后可 以对所有文件进行编译、仿真等操作。 6.对于定点数运算,需要以下两个库: math_utility_pkg.vhdl - Types used in the fixed point package fixed_pkg_c.vhdl - Fixed-point package (VHDL-93 compatibility version) 而这两个库中又会调用floatfixlib库:这个库包含Actel/Libero_v9.1/Model/vhdl_src/floatfixlib 目录下的三个文件:fixed_pkg_c.vhd, float_pkg_c.vhd, math_utility_pkg.vhd,也就是说,要用这三个文件生成floatfixlib库。 ---------------------------------------------------------------------- Modelsim仿真流程-经验总结 7.Modelsim简介 略。 2.modelsim仿真流程:modelsim基本的仿真流程包括建立库、建立工程并编译、仿真、调试、但在libero环境中运行modelsim时,软件自动映射库和生成工程文件。其中功能仿真、综合仿真以及后仿真分别映射presynth、postsynth和postlayout库。 基本流程是:建立工作库→编译源代码→启动仿真→分析、调试。 8.建立库并映射

示波器的使用实验报告

物理实验报告 一、【实验名称】 示波器的使用 二、【实验目的】 1.了解示波器的基本结构和工作原理,掌握示波器的调节和使用方法 2.掌握用示波器观察电信号波形的方法 3.学会使用双踪示波器观察萨如图形和控制示波管工作的电路 三、【实验原理】 双踪示波器包括两部分,由示波管和控制示波管的控制电路构成 1.示波管示波管是呈喇叭形的玻璃泡,抽成高真空,部装有电子枪和两队相互垂直的偏转板,喇叭口的球面壁上涂有荧光物质,构成荧光屏,高速电子撞击在荧光屏上会使荧光物质发光,在荧光屏上就能看到一个亮点。Y偏转板是水平放置的两块电极。在Y偏转板上和X 偏转板上分别加上电压,可以在荧光屏上得到相应的图形。 双踪示波器原理 2.双踪示波器的原理 双踪示波器控制电路主要包括:电子开关,垂直放大电路,水平放大电路,扫描发生器,同步电路,电源等; 其中,电子开关使两个待测电压信号Y CH1和Y CH2周期性的轮流作用在Y偏转板,这样在荧光屏上忽而显示Y CH1信号波形,忽而显示Y CH2信号波形,由于荧光屏荧光物质的余晖及人眼视觉滞留效应,荧光屏上看到的是两个波形。 如果正弦波与锯齿波电压的周期稍不同,屏上呈现的是一移动的不稳定图形,这是因为扫描信号的周期与被测信号的周期不一致或不呈整数倍,以致每次扫描开始时波形曲线上的

起点均不一样所造成的,为了获得一定数量的完整周期波形,示波器上设有“Time/div”调节旋钮,用来调节锯齿波电压的周期,使之与被测信号的周期呈合适的关系,从而显示出完整周期的正弦波性。(看到稳定波形的条件:只有一个信号同步) 当扫描信号的周期与被测信号的周期一致或是整数倍,屏上一般会显示出完整周期的正弦波形,但由于环境或其他因素的影响,波形会移动,为此示波器装有扫描同步电路,同步电路从垂直放大电路中取出部分待测信号,输入到扫描发生器,迫使锯齿波与待测信号同步,此称为“同步”;反之则为“外同步”。操作时,使用“电平旋钮”,改变触发电势高度,当待测电压达到触发电平时,开始扫描,直到一个扫描周期结束。但如果触发电势超出所显示波形最高点或最低点的围,则扫描电压消失,扫描停止。 3.示波器显示波形原理 如果在示波器的Y CH1或Y CH2端口加上正弦波,在示波器的X偏转板加上示波器部的锯齿波,当锯齿波电压的变化周期相等时,则在荧光屏上显示出完整的正弦波形。 4.萨如图形的基本原理 如果在示波器的Y偏转板上加上正弦波,在X偏转板上加上另一正弦波,则当两正弦波信号的频率比为简单整数比时,在荧光屏上将得到萨如图形。 四、【仪器用具】: 信号发生器、双踪示波头、探头 五、【实验容】 几种萨如图形 n x n y分别代表图形在水平或垂直方向的切点数量 nx/n y=1/2 n x/n y=1/3 n x/n y=2/3 n x/n y=3/4 1.观察正弦波形 a.打开示波器 b.开通CH1及相应信号发生器 fx=100Hz c.得到大小合适稳定的正弦波 2.测正弦波电压,测正弦波的周期 a.调节波形上下移动键,使得fx=100Hz,改变一次v/div,再记录dy b.调整波形左右移动键,使得改变一次t/div,再记录dx dv(V)垂直格数Vpp(V) dx(us) 水平格数fy(Hz) 1 3. 2 3.2 100 3.8 2631 实际示数12.2 2686

示波器的原理和使用(仿真实验)

示波器的原理和使用(仿真实验) 示波器是一种多用途的现代测量工具,它可直接观察电信号的波形,也能测定电压信号的幅度、周期和频率等参数。双踪示波器不仅能独立观察两种信号的波形,以便对它们进行对比、分析和研究,还能测量两个信号之间的时间差和相位差。一切可以转化为电压的其他电学量(如电流、电功率、阻抗、位相等)和非电学量(如温度、位移、压强、磁场、频率等)都可以用示波器来进行观测。用示波器研究物理现象与规律已经形成一种物理实验方法——示波法。 [预习提要] 1.示波器由哪几部分组成?弄清楚示波管的结构与作用。 2.示波器是怎样显示波形的?显示完整而稳定波形的条件是什么? 3.扫描有哪两种形式?弄清它们的意义。 4. “同步”是什么意思?如何使用与同步有关的“电平”旋钮? 5.电压、频率如何测量? [实验目的] 1. 了解示波器的基本原理和结构; 2. 学习使用试播观察波形和如何用示波器进行相关测量。 [实验原理] 详细原理请参考教材第148页《示波器的原理和使用》及实验指导书相关内容。 [实验内容] 1.校准示波器; 2.直接法测量未知信号电压; 3.利用直接测量法与李萨如图测量法测量未知信号频率; 4.观测两个通道信号的组合。 [仿真实验操作方法] 1.系统的启动

在系统主界面上选择“示波器”并单击,即可进入示波器仿真实验平台,显示平台主窗口——实验室场景(图1)。单击鼠标右键可弹出实验主菜单,用鼠标单击菜单选项,即可进入相应的实验内容(若单击“退出”,则退出示波器实验)。 2.系统主菜单 (1)示波器原理: 单击主菜单上的“示波器原理”,打开示波器原理窗口。在窗口中单击鼠标右键,可弹出示波器触发方式选择菜单,如图2所示。分别选择不同的触发方式将显示示波器的成象原理,选择“退出”将返回示波器实验平台主窗口。 (2)示波器方框图 选择主菜单的“示波器方框图”,弹出示波器方框图窗口,如图3所示。单击鼠标,将返回示波器实验平台主窗口。 图1

ModelsimSE仿真步骤

WILDSC ModelsimSE仿真教程 Verilog & VHDL 孙玉阳 2014/6/3 对于ModelsimSE仿真设置网上很难找到详细教程,在此写一篇基于Verilog 和VHDL的ModelsimSE的仿真设置教程,以期缩短大家ModelsimSE学习时间,由于时间仓促,不足之处还请见谅。

目录 1 ModelsimSE仿真——Verilog HDL (2) 1.1 建立资源库 (2) 1.1.1 建立资源库的目的 (2) 1.1.2 建立资源库前准备 (2) 1.1.3 建立资源库的步骤 (3) 1.2 功能仿真 (11) 1.2.1 建立ModelsimSE工程 (11) 1.2.2 添加Quartus工程文件 (12) 1.2.3 编译 (14) 1.2.4 仿真 (18) 1.3 时序仿真 (21) 2 ModelsimSE仿真——VHDL (25) 2.1 建立资源库 (25) 2.1.1 建立资源库的目的 (25) 2.1.2 建立资源库前准备 (25) 2.1.3 建立资源库的步骤 (26) 2.2 功能仿真 (33) 2.2.1 建立ModelsimSE工程 (33) 2.2.2 添加Quartus工程文件 (34) 2.2.3 编译 (37) 2.2.4 仿真 (40) 2.3 时序仿真 (44)

1ModelsimSE仿真——Verilog HDL 1.1建立资源库 1.1.1建立资源库的目的 Quartus Verilog工程文件里面在使用Primitives、Megafunction、LPM等Quartus自带模块时,会调用Quartus本身自带的一些库文件,但是ModelsimSE在仿真Quartus Verilog工程文件时不会自动去调用Quartus的库文件,同时ModelsimSE也不自带与Primitives、Megafunction、LPM相关的库文件。所以在仿真Primitives、Megafunction、LPM等模块时,必须在ModelsimSE里建立与其对应的资源库,否则无法仿真。 1.1.2建立资源库前准备 (备注:若需要后仿真(时序仿真)则进行这一步骤,若只进行前仿真(功能仿真)则跳过此步骤): 打开待仿真的quartus工程,点击菜单Assignments->Settings,打开如下窗口 将以下红色部分设置好,先点击apply,然后点击OK即可

示波器的使用实验报告

示波器的使用实验报告 一、实验目的 1. 了解示波器的基本结构和工作原理,掌握示波器的调节和使用方法; 2. 学会利用双踪示波器观测电信号波形; 3. 学会利用双踪示波器观察李萨如图形,并利用其测量正弦信号的频率。 二、实验仪器 EE1642B型函数信号发生器、GDS-2062型双踪示波器、导线。 三、实验原理 双踪示波器包括两部分:示波管和控制示波管工作的电路。 1. 示波管 如下图所示,示波管是呈喇叭形的玻璃泡,抽成高真空,内部装有电子枪和两对相互垂直的偏转板,喇叭口的球面壁上涂有荧光物质,构成荧光屏。高速电子撞击在荧光屏上会使荧光物质发光,在 荧光屏上就能看到一个亮点。Y偏转板是 水平放置的两块电极。X偏转板是垂直放 置的两块电极。在Y偏转板和X偏转板上 分别加电压,可以在荧光屏上得到相应的 图形。 2. 双踪示波器的原理 双踪示波器控制电路主要包括:电子开关、垂直放大电路、水平放大电路、扫描发生器、同步电路、电源等。 电子开关将两个待测的电压信号Y CH1和Y CH2周期性的轮流作用在Y偏转板

上。由于视觉滞留效应,能在荧光屏上看到两个波形。 由示波器的原理功能方框图可见,被测信号电压加到示波器的Y轴输入端,经垂直放大电路加于示波管的垂直偏转板。示波管的水平偏转电压,虽然多数情况都采用锯齿电压(用于观察波形时),但有时也采用其它的外加电压(用于测量频率、相位差等时),因此在水平放大电路输入端有一个水平信号选择开关,以便按照需要选用示波器内部的锯齿波电压,或选用外加在X轴输入端上的其它电压来作为水平偏转电压。 此外,为了使荧光屏上显示的图形保持稳定,要求锯齿波电压信号的频率和被测信号的频率保持同步。这样,不仅要求锯齿波电压的频率能连续调节,而且在产生锯齿波的电路上还要输入一个同步信号。这样,对于只能产生连续扫描(即产生周而复始、连续不断的锯齿波)一种状态的简易示波器(如国产SB10型等示波器)而言,需要在其扫描电路上输入一个与被观察信号频率相关的同步信号,以牵制锯齿波的振荡频率。对于具有等待扫描功能(即平时不产生锯齿波,当被测信号来到时才产生一个锯齿波,进行一次扫描)功能的示波器(如国产ST-16型示波器、SR-8型双踪示波器等而言,需要在其扫描电路上输入一个与被测信号相关的触发信号,使扫描过程与被测信号密切配合。为了适应各种需要,同步(或触发)信号可通过同步或触发信号选择开关来选择,通常来源有3个:①从垂直放大电路引来被测信号作为同步(或触发)信号,此信号称为“内同步” (或“内触发”)信号;②引入某种相 关的外加信号为同步(或触发)信号, 此信号称为“外同步”(或“外触发”) 信号,该信号加在外同步(或外触发)

相关主题
文本预览
相关文档 最新文档