当前位置:文档之家› 电子系统课程设计报告

电子系统课程设计报告

电子系统课程设计报告
电子系统课程设计报告

电子系统课程设计报告

目录

一.设计目的................................................

二.设计要求...............................................

三.设计指标................................................ 四.设计框图及整机概述..................................... 五.各单元电路的设计及仿真.................................

1.检测电路............................................

2.放大电路............................................

3.滤波电路...........................................

4.整形电路............................................

5.倍频电路............................................

6.定时电路............................................

7.计数电路............................................

8.译码电流............................................

9.显示电路............................................ 六.电路装配,调试与结果分析............................... 七.数字电路的FPGA设计仿真与调试.......................... 八.设计装配及调试中的体会................................ 九.附录(包括整机逻辑电路图和元器件清单)................. 十.参考资料...............................................

一、 设计目的

电子技术综合设计是综合应用模拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生熟悉电子系统设计的方法、步骤,包括参数计算、元器件选择、仿真、画原理图和印制电路板图、焊接、模拟电路的调试、数字电路的调试,以及整机通调等。 二、设计要求

脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的主要组成部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标

1、设计一个脉搏测试仪,要求实现在30s 内测量1min 的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min ,老人为100~150次/min 。可自行设计所需的直流电源,也可用实验室提供的直流电源。

2、设置指示电路指示直流电源的正常与否。

3、放大电路之后设置指示电路指示放大电路的正常与否。

4、放大电路放大倍数可调。

5、整形电路输出的方波占空比可调。 四、设计框图及整机概述

整机电路由-12V 电源变换电路、血液波动检测电路、放大整形滤波电路、三位计数器电路、门控电路、译码驱动显示电路组成。

→ → → ↑

红外线心率计的原理框图

血液波动 检测 放大、整形、滤波 三位计数

器 译码、驱动、显示

门控电路

五、各单元电路的设计及仿真 1、检测电路

将脉搏跳动信号转换为与此信号相对应的电脉冲信号。实验室提供TCRT5000红外光电传感器。红外线发射管发出的红外线穿过动脉血管经手指指骨反射回来,反射回来的信号强度随着血液流动的变化而变化,接收管把反射回来的光信号变成微弱的电信号,并通过电容耦合到放大电路。红外光电传感器检测后输出信号。

i o

v V V A =

(1)

2、放大电路

放大电路的设计方案比较多,建议采用基于集成运放的设计方案,并使放大倍数可调。实验室提供通用型集成运算放大器LM741.放大电路后最好设置一指示电路,用发光二极管指示放大电路的工作是否正常。

i O U R R R R R R U )//1(5

4

3143++-

= (2) +12V

R 1

1k8

R 2

22k

C 1

10uF

+TCRT5000放大整形电路

+

c e

1和5为偏置(调零端)

2为反向输入端 3为正向输入端 4接地或负电源 6为输出 7接电源 8空脚

3、滤波电路

滤波电路的设计方案也比较多,建议采用基于集成运放的设计方案,采用有源低通滤波器。实验室提供通用型集成运算放大器LM741.

1

2

1R R A up += (3)

RC

f π21

=

(4)

4、整形电路

整形电路的设计方案同样比较多,建议采用电压比较器的设计方案,因为可用已有的芯片LM741构建电路。

5、倍频电路

倍频电路的设计方案有锁相倍频器、异或门倍频器等,建议采用异或门倍频器来实现,实验室提供通用异或门芯片CD4070。

6、定时电路

产生30s的定时控制信号,控制计数器的开始与结束。实验室提供555芯片。

7、计数电路

CD4553内部虽然有3组BCD 码计数器(计数最大值为999),但BCD 的输出端却只有一组Q0~Q3通过内部的多路转换开关能分时输出个、十、百位的BCD 码,相应地,也输出3位位选通信号。例如:当Q0~Q3输出个位的BCD 码时,DS1端输出低电平;当Q0~Q3输出十位的BCD 码时,DS2端输出低电平;当Q0~Q3输出百位的BCD 码时,DS3端输出低电平时,周而复始、循环不止。

8、译码电路

4543显示译码器:把BCD 码转换成驱动数码管所需的代码。它有4个输入端:A 、B 、C 、D ,与计数器的输出端相连;有7个数码笔段输出驱动端:a~g 。译码器CD4543可以驱动共阴、共阳两种数码管,使用时,只要将PH 引脚接高

电平,即可驱动共阳极的LED 数码管;将PH 引脚接低电平,便可驱动共阴极的LED 数码管。

9、显示电路

显示采取动态扫描的方法,即每一时刻只有一个数码管被点亮,但是交替的频率非常快,由于人眼的视觉残留效应,人眼看到的就是静止的数字显示结果。计数器送来的数据,经过CD4543翻译成7段字码后,接到数码管的7个笔画段,

a

b

c d

e f g Q 0Q 1Q 2Q 3

A B C

D CIA

CIB

CLK DIS MR V SS V DD 4

312

111316

8

9765

5324

DS 2

DS 3DS 1

2115C 7

1000P

V SS BI V DD LD PH 91314

151011121661+12V

V 7

V 8

V 9

R 21—R 234.7k R 24—R 301k 7

3

IC 46脚输出V 6C极

IC 6IC 7R 20

10k

8550

8550

8550

78

10百

a

f

个b e

d

c

g

1:锁存

6:相位 7:消隐

点亮相应的笔画段。数码管采取共阳极。

六、电路装配、调试与结果分析 (1)电路板制作要求:

1.在装配时,尽量把同一功能电路器件放在一起。

2.电阻器、短路线在安装时要注意横平竖直,卧式安装,安装时贴近印刷线路板。

3.三极管在安装时要注意引脚高度(散热);电解电容一定要紧贴电路板

4.焊点一定要美观

5.焊盘要保持干净,不能沾满汗渍

6.一线不得二用

(2)通电调试注意事项及要求:

1.通电前,把桌面清理干净,电路板下不允许有杂物,禁止把电路板放在金属元件盒上通电!

2.采取分块调试的原则,即每做完一块功能的电路,则对其调试,记录测量数据波形。

3.遇到问题,自己独立分析,培养会思考的能力。

4.每一块功能电路调试完成后,数据波形让老师检查后,领取下一块电路的元器件。

(3)结果分析:

在调试时我是通过刚开始断开红外线检测电路,接入一个大约20mA 左右的正弦信号来调试后面电路部分的。断开时所有电路能正常工作,计数器能正常计数,显示器显示的结果也很正常。但当把红外线检测电路接上时,显示器显示的数据就有点点混乱,通过老师的分析解释,知道了这是由于红外线光电传感器不灵敏导致的,所以整体结果还是比较满意的。

a f

十个

b

e d

c g

a b c d e f g

七、数字电路的FPGA设计、仿真与调试

先通过软件编程实现倍频、计数、译码等各个模块功能的仿真,最后将这些功能模块程序结合在一起,构成一个完整的程序,并将该程序下载到FPGA芯片里面,并配以外围显示电路完成计数显示功能。实验室提供软件平台Xilinx公司的ISE9.0,硬件平台是基于Xilinx公司Spartan3E FPGA芯片的Basys2 FPGA开发板。

程序设计:

module ee(clk, clr, en, dout, cs, ld1, ld0);

input clk;

input clr;

input en;

output [6:0] dout;

output [3:0] cs;

//output count;

output ld1;

output ld0;

//output [11:0] data;

//output [5:0] cnt_div;

//output clk1;

reg [11:0] q;

reg clk1;

reg clk2;

reg [26:0] cnt_div;

reg [17:0] clk_div;

reg [1:0] disp_cnt;

reg [6:0] dout;

reg [3:0] cs;

reg [3:0] Disp;

reg ld1,ld0;

//reg [11:0] data;

//assign data=q;

always @(posedge clk)

begin

if(clr==1)

begin //异步复位

cnt_div<=0;

clk_div<=0;

//q<=12'b001101000101;

ld0<=1'b0;

ld1<=1'b0;

end

else if(en==1)

begin

cnt_div<=cnt_div+1;

clk_div<=clk_div+1;

if(clk_div==131071) begin

clk2<=1;

end

else if(clk_div==262143) begin

clk2<=0;

end

if(cnt_div==67108863) begin

clk1<=1;

ld1<=1'b1;

ld0<=1'b0;

end

else if(cnt_div==134217727) begin

clk1<=0;

ld1<=1'b0;

ld0<=1'b1;

end

end

end

always@(posedge clk2 or posedge clr)

begin

if(clr) begin //异步复位

disp_cnt<=0;

end

else if(en) begin //PAUSE 为0时正常计数,为1时暂时计数disp_cnt<=disp_cnt+1;

case (disp_cnt)

2'b00:begin cs<=4'b1110; Disp<=q[3:0]; end

2'b01:begin cs<=4'b1101; Disp<=q[7:4]; end

2'b10:begin cs<=4'b1011; Disp<=q[11:8]; end

default:begin cs<=4'b1111; Disp<=4'b1111; end endcase

end

end

always@(posedge clk1 or posedge clr) begin

if(clr) begin //异?礁次? q<=0;

end

else if(en) begin //PAUSE 为0时正常计数,为1时暂时计数

if(q[3:0]==9) begin

q[3:0]<=0;

if(q[7:4]==9) begin

q[7:4]<=0;

if(q[11:8]==9) begin

q[11:8]<=0;

//count<=1;

end

else

q[11:8]<=q[11:8]+1;

end

else

q[7:4]<=q[7:4]+1;

end

else begin

q[3:0]<=q[3:0]+1;

//count<=0;

end

//data<=q;

end

end

always@(Disp)

begin

case(Disp)

4'b0000: dout<=7'b1000000;

4'b0001: dout<=7'b1111001;

4'b0010: dout<=7'b0100100;

4'b0011: dout<=7'b0110000;

4'b0100: dout<=7'b0011001;

4'b0101: dout<=7'b0010010;

4'b0110: dout<=7'b0000010;

4'b0111: dout<=7'b1111000;

4'b1000: dout<=7'b0000000;

4'b1001: dout<=7'b0010000;

default: dout<=7'b1111111;

endcase

end

Endmodule

程序下载管脚设置:

NET “clk”LOC=”B8”;

NET “clr”LOC=”N3”;

NET “en”LOC=”E2”;

NET “ld0”LOC=”M5”;

NET “cs[0]”LOC=”F12”;

NET “cs[1]”LOC=”J12”;

NET “cs[2]”LOC=”M13”;

NET “cs[3]”LOC=”K14”;

NET “dout[0]”LOC=”L14”;

NET “dout[1]”LOC=”H12”;

NET “dout[2]”LOC=”N14”;

NET “dout[3]”LOC=”N11”;

NET “dout[4]”LOC=”P12”;

NET “dout[5]”LOC=”L13”;

NET “dout[6]”LOC=”M12”;

计时仿真图:

八、设计、装配及调试中的体会

刚开始拿到红外线心率计这个课题时有点茫然,对于这么大的一个课题不知道从拿下手。后来经过老师的讲解,知道要先分步骤设计好电路,再进行装配及调试。

在刚开始设计时,我有翻找很多以前的模拟电子电路书,以及数字电子电路书,还到图书馆找了一些有关怎样设计电路方面的资料,后来结合这些资料及元器件清单,再经过对电路中数据的计算,画出了电路图,再进行仿真,最后得到了满意的仿真结果。接下来就是进行装配了,在开始装配时大部分同学都害怕自己的电路图不能出结果,所以采用书上的参考电路图进行焊接,但我还是坚持我自己的电路图。对于我来说,在焊接电路板方面是毫无经验的,所以刚开始有点担心,不过经过练习之后也能根据自己的布线图细心、耐心的焊接好电路。最后的调试时很关键的一步,首先必须分模块进行仿真,否则很容易出现错误,然后

就是调试时要注意那些注意事项,在出现问题时不能慌张,要细心、耐心的分步检查,思考,解决问题。

虽然整个设计有点复杂,但最后我还是能将实验结果调试出来,特别是根据自己的电路图做出来的,觉得很开心,很值得,至少自己没有浪费一块电路板,也得到了一次实践的机会。

放大、滤波、整形、倍频电路

定时门控电路

计数、译码显示电路

a

b

c

d

e f g Q 0Q 1Q 2Q 3A B C

D CIA CIB

CLK DIS MR V SS V DD 4

312111316

89765

5324

DS 2

DS 3DS 1

2

115C 7

1000P

V SS BI V DD LD PH 91314

151011121661+12V

V 7

V 8

V 9

R 21—R 23

4.7k R 24—R 301k ?7

?3

IC 46脚输出V 6C极

IC 6IC 7R 2010k

8550

8550

8550

78

10百

a

f

b

e

d

c

g

器件名称型号每套数量IC芯片LM7413-4

5551

145531

45431

电阻1K若干

1.8K若干

2K若干

3K若干

4.7K若干

5.6K若干

8.2K若干

10K若干

22K若干

30K若干

68K若干

200K若干

390欧若干

可变电阻100K1

电容1022

1032

0.47uf2

2.2uf2

10uf1

100uf1

220uf2

二极管IN41483

IN40072三极管80501

85503 LED灯红色3按钮常开1传感器TCRT50001数码管共阳7段*31 IC插座16脚3

8脚4数码管插座单排6脚2通用板

导线单股红色

蓝色

备注:

十、参考资料

1、李震梅,房永刚. 电子技术实验与课程设计. 机械工业出版社. 2011

2、DonaldA.Neamen著,王宏宝等译,电子电路分析与设计——(1)半导体器件及其基本应用、(2)童诗白,华成英。《模拟电子技术基础(第四版)》高等教育出版社.2012.

3、赛尔吉欧·佛朗哥著,刘树棠,朱茂林,荣玫译. 基于运算放大器和模拟集成电路的电路设计(第3版).西安交通大学出版社.2005

4、冈村迪夫. 王玲等译. OP放大电路设计. 科学出版社. 2011

5、Xilinx ISE Design Suite 10.xFPGA开发指南. 逻辑设计篇. 田耘等编著. 人民邮电出版社.2008

6、Xilinx FPGA/CPLD设计手册. 王杰,王城,谢龙汉编著. 人民邮电出版社.2011

电子课程设计报告

海南大学信息科学技术学院电子技术课程设计报告 设计题目: 自动换挡数字频率计 专业班级:___11级通信工程2班_____ _ 姓名:_______ ____ ________ 同组员: 学号:_____ _____ 指导教师:_______易家傅___________

目录 一、设计目的 (1) 二、设计目标 (1) 1、整体功能要求 2、系统结构要求 3、电气指标 三、方案比较 (2) 四、理论分析 (3) 1、基本原理 2、整体框图 五、单元电路设计和整体电路图 (5) 1、时基电路设计 2、闸门电路设计 3、分频器的设计 4、控制信号产生电路 5、计数、锁存、显示电路设计 6、换挡电路设计 7、量程显示电路设计 8、整体电路图 六、电路仿真结果记录及分析 (10) 七、元器件列表 (11) 八、心得体会 (11)

自动换挡型数字频率计 一、 设计目的 本次课程设计主要是配合《模拟电子技术》和《数字电子技术》理论课程而设置的一门实践性课程,起到巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想的效果。 二、 设计目标 1、整体功能要求 频率计主要用于测量正弦波、矩形波等周期信号的频率值。 2、系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,自动换挡指的是超量程自动换高档,低量程自动换低档。 图1 系统结构图 3、电气指标 (1)被测信号波形:正弦波、矩形波。 (2)被测信号的频率范围:1Hz 999KHz ,共分为4个档位: 1Hz 档位: 1Hz~999HZ 10Hz 档位: 10Hz~9.99KHZ 100Hz 档位: 100Hz~99.9KHZ 1000Hz 档位:1KHz~999KHZ (3)测量精度:用3位数码管显示测量数据,1位数码管显示档位。测量误差 小于1%。 (4)具有自检功能,即用仪器内部的标准脉冲校准测量精度。 (5)具有自动换挡功能,即超量程能换高档,欠量程换低档。 输入信号 数字频率计 (自动换挡) 显示结果

电子电路课程设计密码锁(满分实验报告)

密码锁设计报告 摘要: 本系统是由键盘和报警系统所组成的密码锁。系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。 关键字:数字密码锁GAL16V8 28C64 解锁与报警 1

目录: 一、系统结构与技术指标 1、系统功能要求 (4) 2、性能和电气指标 (5) 3、设计条件 (5) 二、整体方案设计 1、密码设定 (6) 2、密码判断 (6) 3、密码录入和判断结果显示 (6) 4、系统工作原理框面 (7) 三、单元电路设计 1、键盘录入和编码电路图 (8) 2、地址计数和存储电路 (12) 3、密码锁存与比较电路 (12) 2

4、判决与结果显示电路 (14) 5、延时电路 (15) 6、复位 (17) 7、整机电路图 (19) 8、元件清单……………………………………………19四、程序清单 1、第一片GAL (21) 2、第二片GAL (23) 五、测试与调整 1、单元电路测试 (25) 2、整体指标测试 (26) 3、测试结果 (26) 六、设计总结 1、设计任务完成情况 (27) 2、问题及改进 (27) 3、心得体会 (28) 3

一、系统结构与技术指标 1.系统功能要求 密码锁:用数字键方式输入开锁密码,输入密码时开锁;如 果输入密码有误或者输入时间过长,则发出警报。 密码锁的系统结构框图如下图所示,其中数字键盘用于输入 密码,密码锁用于判断密码的正误,也可用于修改密码。开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或者输入时间超时。 开锁green 键盘密码锁 错误red 4

电子系统综合设计报告

电子系统综合设计报告 姓名: 学号: 专业: 日期:2011-4-13 南京理工大学紫金学院电光系

摘要 本次课程设计目的是设计一个简易温度控制仪,可以在四联数码管上显示测得的温度。主要分四部份电路:OP07放大电路,AD转换电路,单片机部分电路,数码管显示电路。设计文氏电桥电路,得到温度与电压的关系,通过控制电阻值改变温度。利用单片机将现在温度与预设温度进行比较,将比较结果在LED数码管上显示,同时实现现在温度与预设温度之间的切换。 关键词放大电路转换电路控制电路显示

目录 1 引言 (4) 1.1 系统设计 (4) 1.1.1 设计思路 (4) 1.1.2 总体方案设计 (4) 2 单元模块设计 (5) 2.1 各单元模块功能介绍及电路设计 (5) 2.1.1 温度传感器电路的设计 (5) 2.1.2 信号调理电路的设计 (5) 2.1.3 A/D采集电路的设计 (5) 2.1.4 单片机电路 (6) 2.1.5 键盘及显示电路的设计 (6) 2.1.6 输出控制电路的设计 (6) 2.2元器件的选择 (6) 2.3特殊器件的介绍 (7) 2.3.1 OP07A (7) 2.3.2 ADC0809 (7) 2.3.3 ULN2003 (9) 2.3.4 四联数码管(共阴) (9) 2.4各单元模块的联接 (10) 3.1开发工具及设计平台 (11) 3.1.1 Proteus特点 (11) 3.1.2 Keil特点 (11) 3.1.3 部分按键 (12) 4 系统测试 (17) 5 小结和体会 (20) 6 参考文献 (21)

1 引言 电子系统设计要求注重可行性、性能、可靠性、成本、功耗、使用方便和易维护性等。总体方案的设计与选择:由技术指标将系统功能分解为:若干子系统,形成若干单元功能模块。单元电路的设计与选择:尽量采用熟悉的电路,注重开发利用新电路、新器件。要求电路简单,工作可靠,经济实用。 1.1 系统设计 1.1.1 设计思路 本次实验基于P89L51RD2FN 的温控仪设计采用Pt100温度传感器。 1.1.2 总体方案设计 热敏电阻测温调理电路 设计要求 1.采用Pt100温度传感器,测温范围 -20℃ --100℃; 2.系统可设定温度值; 3.设定温度值与测量温度值可实时显示; 4.控温精度:±0.5℃。 设定输入 单片机 LED 显示 控制输出 双向可 控硅 继电器 控制 对象 风扇 信号调 理电路 A/D 采集 电路 加热丝 传

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

合工大电子信息工程系综合课程设计报告

计算机与信息学院 电子信息工程系综合课程设计报告 专业班级 电子信息科学与技术 学生姓名及学号 指导教师 老师 课题名称 基于51单片机智能家庭小管家 2013~2014 学年第三学期

一、课题的基本描述 目标:本课题目标主要是通过多点采集室内温度、湿度、光线强度、以及检测室内是否有人来控制室内一些家用电器的自动工作。采集到的温度和湿度显示与实际温度和湿度误差小于1度;控制速度小于10分钟。具体家用电器涉及到:1、空气加湿器;2、电风扇;3、应急灯等。 意义:在一定程度上解放人类的双手,对人们日常生活中本来就不太注意的一些生活细节通过单片机进行自动控制,以此提高人们日常生活的质量。 二、设计的基本要求 本课题通过热释电人体红外传感器检测室内是否有人,如果检测到有人则控制家用电器正常工作,否则所控制的家电则自动断电。 各个家用电器的控制过程: (1)对应急灯的控制:应急灯只在有人晚上回家时自动打开,以避免人晚上回到家时屋内漆黑一片所造成的不便;并且当有人打开屋内的日常照明灯时则自动关闭应急灯;但是当晚上人们关灯睡觉后应急灯也不会自动打开。 (2)对空气加湿器的控制:一般人们处在湿度在40%~50%之间会感觉比较舒适,当湿度过低或者过高时则会引起人们的不适;所以当湿度低于50%时空气加湿器就自动打开,并且湿度越低加湿器运行速度越快、湿度越接近50%运行速度越慢,当室内湿度超过50%时则自动断电。 (3)对电风扇的控制:当温度高于25 ℃时人体就会开始从外界吸收热量,当温度高于30℃人就会热得比较难受时,为了保证电风扇的工作有意义,所以只有当从机2能检测到人时才会打开。所以当温度高于25 ℃并且从机2能检测到人时电风扇就会打开一档,当温度高于30 ℃时打开二档。 三、技术方案及关键问题 从实际考虑出发,由于各个家用电器可能处于屋内的不同地方,甚至相隔比较远,而且家电还可能根据个人需要移动位置,所以如果想只用一块单片机通过有线的方式去控制所有家电的话不太现实、也不够灵活。因此我设计成主从机模式,一个主机、多个从机,采用星型方式通过无线模块进行相互通信。同时为了使所采集到的信息能够更加准确的反应室内的真实情况,主机和每个从机都携带了相关的传感器。其中主机主要实现人机对话,以及将接收到的从从机发来的数据整理并打包之后再发向各个从机;并且还执行向从机发送指令的任务;而从机主要实现接收主机发来的数据并将数据进行相关分析处理,之后根据处理结果对家电进行相关控制。 对于热释电人体红外感应模块,当感应到有人时会输出高电平(3.3V),当感应不到人时输出低电平(0V);而且其最大感应距离是7米,因此为了避免人体感应存在盲

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

电子科技大学模电课程设计报告——火灾报警电路

电子科技大学模电课程设计报告——火灾报警电路

————————————————————————————————作者:————————————————————————————————日期: 2

电子科技大学 《模拟电路基础》应用设计报告 设计题目:火灾报警电路 学生姓名:学号: 教师姓名:日期: 一、设计任务 通过两个温度传感器获得的电压差实现火灾自动报警。 正常情况下,电压差为零,发光二极管不亮,蜂鸣器不响。 当有火情时,电压差增大,发光二极管发光,蜂鸣器鸣叫。 二、电路原理 根据设计要求,把设计的电路分成以下三个模块(图1): 图1 电路方框图 电路详细构成如下: 放大微 弱电压信 号 判断是否 需要报警 报警指 示

1.二极管温度传感器 仿真时,可用电压源ui1、ui2模拟温度引起的电压变化,但可用二极管作为实际的温度传感器。常温下,硅二极管正向导通时的导通电压约为0.7V。 流过二极管的正向电流固定时,温度每上升1度,正向电压下降大约2mV。 图2 二极管温度传感器 2.差分电压放大电路 发生火灾时,温度传感器的电压差可以迅速上升至几十到几百mV,根据后级的比较电压确定放大倍数,通过差分放大器将电压放大到大于比较 电压。 因此选择图三这样的电路可将微弱的电信号放大10倍左右。 图3 差分电压放大电路 ) ( 1 2I I f O u u R R u- ? =

3.单限电压比较器 差分电路输出的电压从U2的正向输入端输入,与单限电压比较器的阈值电 压UT 进行比较。 图4 单限电压比较器 CC T oH o T o oL o T o V R R R U U u U u U u U u ?+= =>=<4 34 2121;;时,时,

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

电子综合课程设计报告

课程设计任务书姓名学号 班级学院 课程电子技术综合 题目简易信号发生器和简易频率计 设计任 务 1.设计一个的正弦波、方波和三角波发生器: (1) 频率可调范围:2Hz—20KHz,分为4档: 2—20Hz;20—200Hz;200Hz—2KHz;2—20KHz; (2) 幅度可调范围:0—5V; (3) 可调偏置。 2.设计一个简易数字频率计: (1) 可测量信号频率范围:1~100 KHz,显示单位为Hz; (2) 输入电压幅度VPP:100mV—10V; (3) 输入信号波形:任意周期信号; (4)显示方式: 6位十进制数显示。 时间进 度第17、18周 2010.12.27-2011.1.7 星期一、二布置设计方案、预设计及验收星期三、四、五计算机仿真及仿真结果验收星期一上午发放元器件、领取工具 星期一下午焊接 星期二、三、四安装、调试、教师验收 星期周五打印图纸、写设计报告 主要参考资料1.康华光。电子技术基础数字部分(第五版)。北京:高等教育出版社,2006; 2.康华光。电子技术基础模拟部分(第五版)。北京:高等教育出版社,2006; 3.电子技术(下)实验指导书,中原工学院电子技术课程组自编,2011;

目录 一、摘要 (2) 二、设计原理 (3) 2.1 简易信号发生器的基本原理 (3) 2.2 数字频率计的基本原理 (5) 三、方案设计 (9) 四、电路仿真 (10) 4.1 简易信号发生器电路仿真 (10) 4.2 数字频率计 (15) 五、电路焊接与调试 (17) 六、心得体会 (20) 附录一:参考文献 (22) 附录二:元器件表 (23) 附录三:原理图 (28)

模拟电子电路课程设计_带LED闪光灯的音响电路

模拟电子电路课程设计—带LED闪光灯的音响电路 指导老师: 专业班级:自动化09-05 姓名: 学号:3

目录 第1章内容摘要 (3) 1.1大概内容 (3) 1.2设计指标 (3) 第2章系统框图 (4) 第3章各单元电路设计 (5) 3.19V直流稳压电源 (5) 3.2语音放大电路 (5) 3.3555振荡电路 (5) 3.4LED闪烁电路 (5) 第4章电路原理图及工作原理 (6) 4.19V直流电源电路 (6) 4.2语音放大电路 (6) 4.3555振荡电路 (7) 4.4LED闪烁电路 (8) 第5章元器件清单 (10) 第6章电路特点 (11) 6.1电源电路 (11) 6.2语音放大电路 (11) 6.3555振荡电路和LED闪光灯 (11) 第7章心得体会 (12) 第8章参考文献 (13)

第1章内容摘要 1.1 大概内容 该系统由电源电路,语音放大电路,555振荡电路和LED电路四部分组成。由电源电路进行为两个系统供电,语音放大电路实现音频信号滤除和信号放大并在喇叭输出,555振荡电路产生矩形波控制LED灯进行闪烁,LED电路摆出形状引出电源引脚。 1.2 设计指标 该系统有三部分功能组成,一个是电源输出,一个是音响放大,还是一个是LED灯光闪烁。 电源要求输出9V直流电压,带载能力较强,电压稳定。 语音电路放大要求输出清晰的音响。 555控制电路要求输出矩形振荡波形。 LED电路围成一个太阳形状,共分三层,内层12个红色LED灯,中层6个黄色LED灯,外层6个红色LED灯。要求中层和外层交替闪烁,内层一直亮。

第2章系统框图

综合电子系统课程设计报告模板

衡阳师范学院 物理与电子信息科学系 《综合电子系统》 课程设计报告 一号黑体,居中 简易电子称的设计 小二号粗黑体,居中 班级2011级电信1班 组长 成员三号宋体,加粗 指导教师 提交日期2014年6月10 日 《综合电子系统课程设计》成绩评定表 课程设计题目:简易电子秤

第一部分设计任务 1.1 设计题目及要求 (1) 1.2 备选方案设计与比较 (2) 1.2.1 方案一 (3) 第二部分系统硬件平台的设计 2.1 总体设计方案说明 (7) 2.2单片机最小系统 (9) 2.2.1S T C89C52单片机 (10) 2.2.2时钟电路 (11) 2.2.3复位电路 (12) 2.3功能模块二(参照2.2) (13) 2.3.1模块电路及参数计算 (14)

2.3.2工作原理和功能说明 (15) 2.3.3器件说明(含结构图、管脚图、功能表等) (16) 2.4功能模块三(实际名 (17) 2.4.1模块电路及参数计算 (18) 2.4.2工作原理和功能说明 (19) 2.4.3器件说明(含结构图、管脚图、功能表等) (20) 第三部分系统软件的设计与实现 3.1主程序流程图 (21) 3.2子程序一(实际名) (22) 3.3子程序二(实际名) (23) 3.4子程序三(实际名) (24) 3.4电路仿真(实际名) (24) 3.4.1仿真软件简介 (25) 3.4.2仿真电路图 (26) 3.4.3仿真结果(附图) (27) 第四部分安装调试与性能测量 4.1电路安装 (28) (推荐附整机数码照片) 4.2系统软、硬件调试 (29) 6.2.1调试步骤及测量数据 (30) 6.2.2故障分析及处理 (31) 4.3整机性能指标测量(附数据、波形等) (32) 课程设计总结 (33) 参考文献 报告正文的排版: 1. 纸张大小及版心:统一用A4纸(21×29.7)打印,边距设为:上 2.54cm,下2.54cm,左2.2cm,右2.2cm。行距为固定值20磅。 2. 第一级标题用三号粗黑体,(段落设置)段前1行,段后1行, 3. 第二级标题用小三黑体,靠左上下空一行 4. 第三级标题用四号黑体,靠左本身不空行 5. 正文小四号字体,行距为固定值20磅 6. 图题及图中文字用5号宋体 7. 参考文献标题用三号粗黑体,居中上下空一行,参考文献正文为五号宋体

《电工电子技术》课程设计报告书 (1)

武汉理工大学华夏学院 信息工程课程设计报告书 课程名称电工电子技术 课程设计总评成绩 学生姓名、学号 学生专业班级 指导教师姓名 课程设计起止日期2015.6.22~2015.7.3

课程设计基本要求 课程设计是工科学生十分重要的实践教学环节,通过课程设计,培养学生综合运用先修课程的理论知识和专业技能,解决工程领域某一方面实际问题的能力。课程设计报告是科学论文写作的基础,不仅可以培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,也是规范课程设计教学要求、反映课程设计教学水平的重要依据。为了加强课程设计教学管理,提高课程设计教学质量,特拟定如下基本要求。 1. 课程设计教学一般可分为设计项目的选题、项目设计方案论证、项目设计结果分析、答辩等4个环节,每个环节都应有一定的考核要求和考核成绩。 2. 课程设计项目的选题要符合本课程设计教学大纲的要求,该项目应能突出学生实践能力、设计能力和创新能力的培养;该项目有一定的实用性,且学生通过努力在规定的时间内是可以完成的。课程设计项目名称、目的及技术要求记录于课程设计报告书一、二项中,课程设计项目的选题考核成绩占10%左右。 3. 项目设计方案论证主要包括可行性设计方案论证、从可行性方案中确定最佳方案,实施最佳方案的软件程序、硬件电路原理图和PCB图。项目设计方案论证内容记录于课程设计报告书第三项中,项目设计方案论证主要考核设计方案的正确性、可行性和创新性,考核成绩占30%左右。 4. 项目设计结果分析主要包括项目设计与制作结果的工艺水平,项目测试性能指标的正确性和完整性,项目测试中出现故障或错误原因的分析和处理方法。项目设计结果分析记录于课程设计报告书第四项中,考核成绩占25%左右。 5. 学生在课程设计过程中应认真阅读与本课程设计项目相关的文献,培养自己的阅读兴趣和习惯,借以启发自己的思维,提高综合分和理解能力。文献阅读摘要记录于课程设计报告书第五项中,考核成绩占10%左右。 6. 答辩是课程设计中十分重要的环节,由课程设计指导教师向答辩学生提出2~3个问题,通过答辩可进一步了解学生对课程设计中理论知识和实际技能掌握的程度,以及对问题的理解、分析和判断能力。答辩考核成绩占25%左右。 7.学生应在课程设计周内认真参加项目设计的各个环节,按时完成课程设计报告书交给课程设计指导教师评阅。课程设计指导教师应认真指导学生课程设计全过程,认真评阅学生的每一份课程设计报告,给出课程设计综合评阅意见和每一个环节的评分成绩(百分制),最后将百分制评分成绩转换为五级分制(优秀、良好、中等、及格、不及格)总评成绩。 8. 课程设计报告书是实践教学水平评估的重要资料,应按课程、班级集成存档交实验室统一管理。

电子线路课程设计报告

石英晶体好坏检测电路设计 设计要求 1. 利用高频电子线路及其先修课程模拟电路的知识设计一个电子线路2.利用该电子线路的要求是要求能够检测石英晶体的好坏 3. 要求设计的该电子线路能够进行仿真 4. 从仿真的结果能够直接判断出该石英晶体的好坏 5. 能够理解该电子线路检测的原理 6. 能够了解该电子线路的应用 成果简介设计的该电子线路能够检测不同频率石英晶体的好坏。当有该石英晶体(又称晶振)的时候,在输出端接上一个示波器能够有正弦波形输出,而当没有 该晶振的时候,输出的是直流,波形是一条直线。所以利用该电路可以在使 用晶振之前对其进行检测。 报告正文 (1)引言: 在高频电子线路中,石英晶体谐振器(也称石英振子)是一个重要的高频部件,它广泛应用于频率稳定性高的振荡器中,也用作高性能的窄带滤波 器和鉴频器。其中石英晶体振荡器就是利用石英晶体谐振器作滤波元件构成 的振荡器,其振荡频率由石英晶体谐振器决定。与LC谐振回路相比,石英晶 体谐振器有很高的标准性,采用品质因数,因此石英晶体振荡器具有较高的 频率稳定度,采用高精度和稳频措施后,石英晶体振荡器可以达到很高的频 率稳定度。正是因为石英晶体谐振器的这一广泛的应用和重要性,所以在选 择石英晶体谐振器的时候,应该选择质量好的。在选择的时候要对该晶振检 测才能够知道它的好坏,所以要设计一个检测石英晶体好坏的电路。 (2)设计内容: 设计该电路的原理如下:

如下图所示,BX为待测石英晶体(又名晶振),插入插座X1、X2,按下按钮SB,如果BX是好的,则由三极管VT1、电容器C1、C2等构成的振荡器工作,振荡信号从VT1发射极输出,经C3耦合到VD2进行检波、C4滤波,变成直流信号电压,送至VT2基极,使VT2导通,发光二极管H发光,指示被测石英晶体是好的。若H不亮,则表明石英晶体是坏的。适当改变C1、C2的容值,即可用于测试不同频率的石英晶体。 图一石英晶体好坏检测电路检测原理图 在上面的电路中,晶振等效于电感的功能,与C1和C2构成电容三点式振荡电路,振荡频率主要由C1、C2和C3以及晶振构成的回路决定。即由晶振电 抗X e 与外部电容相等的条件决定,设外部电容为C L ,则=0,其中C l 是C1、 C2和C3的串联值。 (3)电路调试过程: 首先是电路的仿真过程,该电路的仿真是在EWB软件下进行的,下面是将原图画到该软件后的截图:

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

电子技术课程设计报告三端集成稳压电路

河南机电高等专科学校电子技术课程设计报告设计课题:三端集成稳压电路

三端集成稳压电路 一、设计任务与要求 1. 掌握二极管的单向导电性及用途; 2.了解三端集成稳压器LM7805和LM317的用途及区别; 3.对桥式整流滤波电路进行了解; 4.对变压器知识进行回顾; 5.培养实践技能,提高分析和解决实际问题的能力; 6.要求安全用电,正确使用元件 二、方案设计与论证 可调直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压把家用照明电交流电压220V变为所需要的低压交流电。桥式整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的直流电,并实现电压可在1.25V-37V可调。 方案一、使用型号LM317三端稳压集成器。接入220V家用照明电源,通过降压变压器,使电压降到适合的值,然后使用IN4001型号二极管,电容等设计整流滤波电路,然后通过使用型号LM317三端稳压集成器,输出一个稳定直流电。 方案二、使用型号LM7805三端稳压集成器。接入220V家用照明电源,通过降压变压器,使电压降到适合的值,然后使用IN4007型号二极管,电容等设计整流滤波电路,然后通过使用型号LM7805三端稳压集成器,输出一个稳定直流电。 论证:由于设计要求通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的直流电,并实现电压可在1.25V-37V可调。对于型号LM7805三端稳压集成器来说,输入电压为9V--20V,输出电压为固定值5,输出最大电流为1.5A;而型号LM317三端稳压集成器输入电压的要求范围比较大,输出电压为可调的,电压的范围1.25V-37V,输出电流的最大值与上面的相同,对于此设计来说LM317的选择性比较高,比较容易操作。 通过论证,最终确定选用方案一。

2015年电子设计大赛综合测评题课程设计解析汇报

郑州轻工业学院 电子技术课程设计 题目: 2015年电赛测评试题 姓名:王苗龙 专业班级:电信13-01 学号: 541301030134 院(系):电子信息工程学院 指导教师:曹卫锋谢泽会 完成时间: 2015年10月 29日

郑州轻工业学院 课程设计任务书 题目 2015年电子设计大赛综合测评试题 专业电信工程13-1 学号 541301030134 姓名王苗龙 主要内容、基本要求、主要参考资料等: 主要内容 1.阅读相关科技文献。 2.学习电子制图软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1、使用555时基电路产生频率20kHz-50kHz连续可调,输出电压幅度为1V的方波Ⅰ; 2、使用数字电路74LS74,产生频率5kHz-10kHz连续可调,输出电压幅度为1V的方波Ⅱ; 3、使用数字电路74LS74,产生频率5kHz-10kHz连续可调,输出电压幅度峰峰值为3V的三角波; 4、产生输出频率为20kHz-30kHz连续可调,输出电压幅度峰峰值为3V的正弦波Ⅰ; 5、产生输出频率为250kHz,输出电压幅度峰峰值为8V的正弦波Ⅱ;方波、三角波和正弦波的波形应无明显失真(使用示波器测量时)。频率误差不大于5%;通带内输出电压幅度峰峰值误差不大于5%。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2010年8月 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月 4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月 5.康华光,电子技术基础,高教出版社,2006年1月 完成期限: 2015年10月30日 指导教师签章: 专业负责人签章: 2015 年 10月26日

模拟电子技术课程设计报告

课程设计 重庆科技学院 模拟电子技术课程设计成果 院(系):_电子信息工程学院_班级:自普本2008— 01 学生姓名:_袁小敏___________ 学号:_2008440910 _________ 设计地点(单位)1404 _________________ 设计题目: ___________________________________________ 完成日期:2010 年7月9 日 指导教师评语:__________________________________________ 成绩(五级记分制): _______________ 教师签名: __________________________

一、........................................................................ 设计任务和指标要求. (3) 二、............................................................ 设计框图及整机概述3 三、................................................ 各单元电路的设计方案及原理说明4 四、........................................................ 仿真调试过程及结果分析7 五、.................................................... 设计、安装及调试中的体会8 六、.................................................... 对本次课程设计的意见及建议9 七、...................................................................... 参考资料10 八、.......................................................................... 附录11 附件1 整机逻辑电路图 (11) 附件2 元器件清单 (12)

模拟电子技术课程设计报告

课程设计报告 题目方波、三角波、正弦波信号 发生器设计 课程名称模拟电子技术课程设计 院部名称机电工程学院 专业10自动化 班级10自动化 学生姓名吉钰源 学号1004104001 课程设计地点 C206 课程设计学时 1周 指导教师赵国树 金陵科技学院教务处制成绩

目录 1、绪论 (3) 1.1相关背景知识 (3) 1.2课程设计目的 (3) 1.3课程设计的任务 (3) 1.4课程设计的技术指标 (3) 2、信号发生器的基本原理 (4) 2.1总体设计思路 (4) 2.2原理框图 (4) 3、各组成部分的工作原理 (5) 3.1 正弦波产生电路 (5) 3.1.1正弦波产生电路 (5) 3.1.2正弦波产生电路的工作原理 (6) 3.2 正弦波到方波转换电路 (7) 3.2.1正弦波到方波转换电路图 (7) 3.2.2正弦波到方波转换电路的工作原理 (8) 3.3 方波到三角波转换电路 (9) 3.3.1方波到三角波转换电路图 (9) 3.3.2方波到三角波转换电路的工作原理 (10) 4、电路仿真结果 (11) 4.1正弦波产生电路的仿真结果 (11) 4.2 正弦波到方波转换电路的仿真结果 (11) 4.3方波到三角波转换电路的仿真结果 (13) 5、电路调试结果 (13) 5.1正弦波产生电路的调试结果 (13) 5.2正弦波到方波转换电路的调试结果 (14) 5.3方波到三角波转换电路的调试结果 (14) 6、设计结果分析与总结 (15)

1、绪论 1.1相关背景知识 由于物理学的重大突破,电子技术在20世纪取得了惊人的进步。特别是近50年来,微电子技术和其他高技术的飞速发展,致使农业、工业、科技和国防等领域发生了令人瞩目的变革。与此同时,电子技术也正在改变着人们日常生活。在电子技术中,信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,可以用于生产测试、仪器维修和实验室,还广泛使用在其它科技领域,如医学、教育、化学、通讯、地球物理学、工业控制、军事和宇航等。它是一种不可缺少的通用信号源。 1.2课程设计目的 通过本次课程设计所要达到的目的是:增进自己对模拟集成电路方面所学知识的理解,提高自己在模拟集成电路应用方面的技能,树立严谨的科学作风,培养自身综合运用理论知识解决实际问题的能力。通过电路设计初步掌握工程设计方法,逐步熟悉开展科学实践的程序和方法,为后续课程的学习和今后从事的实际工作提供引导性的背景知识,打下必要的基础。 1.3课程设计的任务 ①设计一个方波、三角波、正弦波函数发生器; ②能同时输出一定频率一定幅度的三种波形:正弦波、方波和三角波; ③用±12V电源供电; 先对课程设计任务进行分析,及根据参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。然后运用仿真软件Multisim对电路进行仿真,观察效果并与课题要求的性能指标作对比。仿真成功后,用实物搭建电路,进行调试,观测示波器输出的波形。 1.4课程设计的技术指标 ①设计、组装、调试信号发生器; ②输出波形:正弦波、方波、三角波; ③频率范围在10Hz~10000Hz范围内可调; ④比较器用LM339,运算放大器用LM324,双向稳压管用两个稳压管代替。

电力电子技术课程设计报告

电力电子课程设计报告题目三相桥式全控整流电路设计 学院:电子与电气工程学院 年级专业:2015级电气工程及其自动化 姓名: 学号: 指导教师:高婷婷,林建华 成绩:

摘要 整流电路尤其是三相桥式可控整流电路是电力电子技术中最为重要同时也是应用得最为广泛的电路,不仅用于一般工业,也广泛应用于交通运输、电力系统、通信系统,能源系统及其他领域,因此对三相桥式可控整流电路的相关参数和不同性质负载的工作情况进行对比分析与研究具有很强的现实意义,这不仅是电力电子电路理论学习的重要一环,而且对工程实践的实际应用具有预测和指导作用,因此调试三相桥式可控整流电路的相关参数并对不同性质负载的工作情况进行对比分析与研究具有一定的现实意义。 关键词:电力电子,三相,整流

目录 1 设计的目的和意义………………………………………1 2 设计任务与要求 (1) 3 设计方案 (1) ?3.1三相全控整流电路设计 (1) 3.1.1三相全控整流电路图原理分析 (2) ?3.1.2整流变压器的设计 (2) ?3.1.3晶闸管的选择 (3) 3.2 保护电路的设计 (4) 3.2.1变压器二次侧过压保护 (4) ?3.2.2 晶闸管的过压保护………………………………………………4 3.2.3 晶闸管的过流保护………………………………………………5 3.3 触发电路的选择设计 (5) 4 实验调试与分析 (6) 4.1三相桥式全控整流电路的仿真模型 (6)

4.2仿真结果及其分析……………………………………………7 5 设计总结 (8) 6 参考文献 (9)

1 设计的目的和意义 本课程设计属于《电力电子技术》课程的延续,通过设计实践,进一步学习掌握《电力电子技术》,更进一步的掌握和了解他三相桥式全控整流电路。通过设计基本技能的训练,培养学生具备一定的工程实践能力。通过反复调试、训练、便于学生掌握规范系统的电子电力方面的知识,同时也提高了学生的动手能力。 2 设计任务与要求 三相桥式全控整流电路要求输入交流电压2150,10,0.5U V R L H ==Ω=为阻 感性负载。 1.写出三相桥式全控整流电路阻感性负载的移相范围,并计算出直流电压的变化范围 2.计算α=60°时,负载两端电压和电流,晶闸管平均电流和有效电流。 3.画出α=60°时,负载两端 d U 和晶闸管两端 1 VT U 波形。 4.分析纯电阻负载和大电感负载以及加续流二极管电路的区别。 5.晶闸管的型号选择。 3 设计方案 3.1三相全控整流电路设计

电子技术课程设计报告

电子技术课程设计报告 班级: 姓名: 学号: 指导教师:

目录 一、设计目的 二、设计要求 三、设计框图及整机概述 四、各单元电路的设计及仿真 1、检测电路 2、放大电路 3、滤波电路 4、整形电路 5、定时电路 6、计数、译码、显示电路 五、电路装配、调试与结果分析 六、设计、装配及调试中的体会 七、附录(包括整机逻辑电路图和元 器件清单) 八、参考文献 一、设计目的

巩固和加深在"模拟电子技术基础"和"数字电子技术基础"课程中所学的理论知识和实训技能,基本掌握常用电子电路的一般设计方法,并通过这一实训课程,能让学生对电子产品设计的过程有一个初步的了解,使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 二、设计要求 掌握整机电路组成及工作原理,并能运用所学过的电路知识分析、解决电路制作过程中所遇到的问题。 三、设计框图及整机概述 图1 红外线心率计的原理框图 红外线心率计就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这是红外线心率计的设计关键所在。整机电路由放大电路、整形电路、滤波电路、3位计数器电路,译码、驱动、显示电路等几部分组成。 四、各单元电路的设计及仿真 1、检测电路 血液波动检测电路首先通过红外光电传感器把血液中波动的成分检测出来,然后通过电容器耦合到放大器的输入端。如图4所示。 图4 血液波动检测电路 2.放大电路

3、滤波电路

由三脚输入信号,六脚输出信号 4、整形电路

相关主题
文本预览
相关文档 最新文档