当前位置:文档之家› 编码器设计应用案例

编码器设计应用案例

编码器设计应用案例
编码器设计应用案例

EC16编码器设计应用案例

摘要:介绍了一种基于单片机的智能仪器前面板的设计及实现方法。根据数字旋钮的特点,在硬件上设计了鉴相电路检测旋钮的正旋和反旋,巧妙地将旋钮扫描和按键扫描统一起来,以Philip低成本的Flash型单片机P89LPC922作为处理芯片,运用了定时中断、状态机、软件去抖、RS-232接口协议等方法实现软件设计,提高按键和旋钮的抗干扰能力,并介绍了用自定义的通信协议计算旋钮转动量和减少主机负担。具有良好的通用性,适用于短周期、低成本的按键和旋钮混合面板设计,并已成功地应用于数字存储大功率半导体管特性曲线图示仪。

关键词:单片机;智能仪器;面板;数字旋钮;鉴相电路

引言:

许多仪器的前面板通常是由诸多的旋钮、按键组成的混合界面。传统的仪器前面板上通常有两种旋钮,一种是电位器,用于调节连续变化的量;另一种是档位开关,用于调节间隔变化的量。它们嵌入在测量电路中,可以直接改变仪器的参数和设置。而在现代智能仪器[1]中,这两类调节均可以通过数字旋钮由微控制器将用户操作的变化量反馈给仪器的主处理器,再由主处理器改变仪器的参数和设置。所以,智能仪器上的数字旋钮和传统仪器上的旋钮在原理和处理方法上有很大不同。为了节省成本,面板处理往往采用体积小、性价比高的单片机(MCU)。运用单片机不但经济灵活,并可充分利用MCU逻辑处理的优势,大大简化外围连线,对旋钮按键混合控制系统[2]的处理尤为突出。

设计采用LPC900系列的P89LPC922Flash单片机来实现软件处理。P89LPC922采用高性能的处理器结构,6倍于标准80C51器件的速率,并自带波特率发生器。充分考虑单片机的资源和处理速度,分模块设计——按钮电路,旋钮电路,串口电路,扫描电路。用protel完成电路原理图,制作电路板,在KeilC环境下编写软件。软件和硬件相结合,协同实现整个面板。

1 硬件设计及原理

1.1 旋钮电路设计

1.1.1 数字旋钮的工作原理

本设计选用常见的编码器EC16系列作为数字旋钮,如图1。4、5脚供固定之用,3脚接VCC(+5V),1、2脚在转动时输出连续脉冲。这种旋钮只有两种操作,即正旋和反旋。通过示波器可以观察到如图所示的旋钮转动时1、2脚的波形。

每次转动1、2脚都会产生脉冲信号,正旋时1脚先变高,反旋时2脚先变高。也就是说,正旋和反旋输出信号的相位不同,只要检测出相位,就可以识别正旋和反旋。

1.1.2鉴相电路设计

本文设计的鉴相电路见图2,附箭头所指数字标号对应的波形(以正旋为例)。旋钮的1、2脚分别与D触发器的D端和CLK端连接,根据上面所述旋钮的工作原理,正旋时1脚(D)先变高,2脚(CLK)上升沿时Q端输出1,反旋转时输出0,端则相反。因此根据Q、输出为10还是01就可以判断出转动方向。但是,如果继续正旋,Q、将维持现状(图2中的④③),因此还必须检测脉冲个数来反映转动量。这时不用考虑1、2脚的相位,可将2个信号相与产生新的信号(图2中的⑤),可利用该信号分别和Q、相与即可分辨出每次旋钮的转动。

最后的输出为⑥和⑦,正旋时⑦线有脉冲,⑥线一直为低,反旋则两根线相反。至此完成了鉴相,下面要解决如何与单片机接口的问题。

1.2 扫描电路设计

用户操作面板时,为了能及时准确地识别哪个按钮和哪个旋钮动作,将按钮和旋钮统一扫描和编码,发送给主机。综合按钮和旋钮特点,根据实际需要采用了8×8的矩阵式键盘结构[3],前4行为按钮,后4行为旋钮。以列信号为扫描输出信号,行信号为检测输入信号。

扫描电路的核心是单片机。一般扫描电路用一个I/O口输出扫描信号,另一个I/O口读入检测信号。

P89LPC922只有两组I/O双向口:P0口和P1口。P1口需要定义一些读写控制信号,所以只用一个P0口输出扫描信号及输入检测信号,这样P0口就存在读写控制和时序问题。既要输出列值又要读入行值,必须要有数据锁存器和缓冲器之类的芯片配合完成。设计时采用了数据锁存器74ALS373和总线接收/发送器

74ALS245。单片机的引脚P1.4控制74ALS245的输出使能端,如图3中的②;P1.7控制74ALS373的数据锁存端,如图3中的①;P1.3控制74ALS245的数据传送方向,如图3中的③(这里为恒为低,从245到单片机的P0口)。

扫描电路的工作原理是:单片机先让②为高,使74ALS245输出为高阻态,然后从P0口输出列扫描数据,再给①高电平,延时后将①变低,使74ALS373锁存列扫描信号,将②变低使74ALS245输出有效,由于数据方向恒定,这样行值数据就从245输入到单片机的P0口。

为了将按钮和旋钮的扫描统一起来,我们把正旋和反旋当做不同的两个按钮按下,旋钮的正旋或反旋也对应唯一的行值和列值。这里的巧妙之处就是采用了三态缓冲器74LS240,每个旋钮电路的输出信号⑥和⑦(即前面图2鉴相电路输出)分别接到74LS240的两组输入端,两组数据的输出都接到4根行线,由列扫描线控制输出哪一组数据。比如:扫描第二列,⑦对应那组输出到4根行线供单片机读入,此时⑥对应那组的输出被禁止。若第二列有旋钮正旋,则其对应的⑦上有脉冲,经240反相后,单片机检测到低电平数据,与按钮按下的情况一致。图3中只用了一片74LS240,可以接4个旋钮,这个电路可以扩充到四片74LS240接16个旋钮。

1.3 与主机通信电路设计

用串口与PC机通信要用串口连线,我们将其3、5脚引出,通过一片MAX232,即可与单片机的串口连接,其电路相对简单,这里不多做叙述了。外部晶振频率选用高频晶振12MHZ,用922自带的波特率发生器,设置波特率为9600,每个字节发送时间约1ms,可以满足高速率和准确性的要求。波特率太低影响速度,太高影响串口数据传输的正确性。

2 软件实现

2.1 按键的抗干扰处理

通常当按键按下以后(不考虑人的操作因素),会有一个5-10ms的抖动期,用软件延时来进行去抖处理。当按键释放后才向主机发送数据,具体方法是用状态机,将按键过程分成以下几个状态S0—S4,如图4所示:

没有按钮动作时,检测线都为高电平,保持在S0状态;扫描面板,当某个按钮按下(相应检测线为低电平)进入S1状态;完成去抖延时(T0中断20次)后进入S2状态,再次扫描面板,检测到低电平且为同一个按钮(行、列线相同)进入S3状态,没有检测到低电平或者不为同一个按钮则再次进入S0状态;按钮释放后进入S4状态,给主机发送按钮编号,发送完成进入S0状态。

2.2 旋钮的抗干扰处理

与档位开关一样,旋钮转动一周会有若干停顿点。停顿点较少的旋钮[2][4]在停止转动时1、2脚总会处于一个稳定的低电平。而停顿点较多的旋钮在停止转动时则电平状态不定,1、2脚有可能处于高电平也可能处于低电平(如图1),所以不可用与之类似的任何检测电平状态的方法来判断旋钮的转动。

用示波器分析波形后得知,不管1、2脚最终状态如何,只要转动旋钮就会有电平变化,从高到低,或从低到高,所以选用动态的边沿扫描。除了在刚上电的时候需要扫描获得每个旋钮的初始状态,每过一段时间都要定时扫描旋钮状态,一旦有电平变化(上升沿/下降沿),就认为是一次有效的转动。定时的时间确定为1ms[5],因为即使很快转动旋钮的情况下,每次脉冲高电平宽度一般都有十几到几十毫秒。在设计时可用1个字的位向量pre_knob和cur_knob分别保存最近的两次扫描结果,每位对应一个旋钮。低电平时相应位置1,高电平置0,用下降沿判断(即10时)认为对应位的旋钮有转动。

2.3 旋钮转动量的计算

旋钮转动量的计算方法也是本文讨论的一个重点。旋钮转动的时候,可以转动一个停顿点就发一次,但会增加通信量和主机的处理负担,而且单片机的任务非常单一,即检测前面板的动作,资源利用也不多,因此可以用单片机计算出旋钮转动量后一起发送给主机。

方法是:给每个旋钮配置1个字节的发送缓冲保存转动量。每当旋钮转动一个停顿点,单片机就检测发送缓冲是否为空,不为空则将缓冲中的转动量加1,若为空则发送旋钮编号给主机,等待主机响应,此时旋钮继续转动,转动量不断增加,主机响应后再将发送缓冲里的转动量发送给主机,发送完成后清空缓冲。

3 结论

完成硬件和软件设计之后,仿真调试,烧录单片机,将电路板与PC机连接,操作电路板上的按钮和旋钮,结果表明按钮和旋钮识别及时准确,完全符合实时操作的要求。并已应用于课题组的数字存储大功率半导体管特性曲线图示仪中。

本文采用的面板设计具有成本低、周期短、可扩展、设计灵活、安全可靠等优点。可以根据实际需要增加功能按键,而不需要重做软件设计。可以为相关领域研究人员提供一种可供参考的面板设计方法。

参考文献

[1] 苟新兵, 刘利民. 智能仪器的应用与发展研究[J]计算技术与自动化,2001,12:75-79

[2] 罗明, 余立民, 倪明, 柴小丽. 转轴编码器构成的智能仪器混合矩阵面板的实现[J]计算机工

程,2006,10:253-255

[3] 旷辉, 刘建群, 黄建新, 刘绿山. 基于单片机的电脑弹簧机按键板的设计与实现[J]微计算机信

息,2006,2:96-98

[4] 李德兵. 旋钮式键盘及其与AT89C52的接口技术[J]单片机与嵌入式系统应用. 2003,6:73-80

[5] 方飞, 谢丽春. 利用Keil Cx51实现T0的精确定时[J]单片机与嵌入式系统应用,2006,1:64-66

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

编码器四倍频细分电路(含波形图)

四倍频细分电路(含波形图) 时间:2010-06-12 05:00:19 来源:作者: 1.光电编码器原理 光电编码器,是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。这是目前应用最多的传感器,光电编码器是由光栅盘和光电检测装置组成。光栅盘是在一定直径的圆板上等分地开通若干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,光栅盘与电动机同速旋转,经发光二极管等电子元件组成的检测装置检测输出若干脉冲信号,其原理示意图如图1所示;通过计算每秒光电编码器输出脉冲的个数就能反映当前电动机的转速。此外,为判断旋转方向,码盘还可提供相位相差90旱牧铰仿龀逍藕拧 根据检测原理,编码器可分为光学式、磁式、感应式和电容式。根据其刻度方法及信号输出形式,可分为增量式、绝对式以及混合式三种。 1.1增量式编码器 增量式编码器是直接利用光电转换原理输出三组方波脉冲A、B和Z相;A、B两组脉冲相位差90海佣煞奖愕嘏卸铣鲂较颍鳽相为每转一个脉冲,用于基准点定位。它的优点是原理构造简单,机械平均寿命可在几万小时以上,抗干扰能力强,可靠性高,适合于长距离传输。其缺点是无法输出轴转动的绝对位置信息。 1.2绝对式编码器 绝对编码器是直接输出数字量的传感器,在它的圆形码盘上沿径向有若干同心码道,每条道上由透光和不透光的扇形区相间组成,相邻码道的扇区数目是双倍关系,码盘上的码道数就是它的二进制数码的位数,在码盘的一侧是光源,另一侧对应每一码道有一光敏元件;当码盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。这种编码器的特点是不要计数器,在转轴的任意位置都可读出一个固定的与位置相对应的数字码。显然,码道越多,分辨率就越高,对于一个具有N位二进制分辨率的编码器,其码盘必须有N条码道。目前国内已有16位的绝对编码器产品。 绝对式编码器是利用自然二进制或循环二进制(葛莱码)方式进行光电转换的。绝对式编码器与增量式编码器不同之处在于圆盘上透光、不透光的线条图形,绝对编码器可有若干编码,根据读出码盘上的编码,检测绝对位置。编码的设计可采用二进制码、循环码、二进制补码等。它的特点是: 1.2.1可以直接读出角度坐标的绝对值; 1.2.2没有累积误差; 1.2.3电源切除后位置信息不会丢失。但是分辨率是由二进制的位数来决定的,也就是说精度取决于位数,目前有10位、14位等多种。 1.3混合式绝对值编码器

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

旋转编码器电路 课程设计

XX大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:电子信息科学与技术 题目:旋转编码器电路 指导教师:职称: 年月日

XX大学 课程设计任务书 2008/2009 学年第一学期 学院: 专业: 学生姓名:学号: 课程设计题目:旋转编码器电路 起迄日期:12月29日~1月9日 课程设计地点: 指导教师: 系主任: 下达任务书日期: 年月日

课程设计任务书 1.设计目的: 通过本课程设计, 主要训练和培养学生综合应用所学过的电路、低频、数字、高频等课程的相关知识,设计实用的电子电路方面的实际电路,包括:查阅资料、合理性的设计、分析和解决实际问题的能力,电路设计工具PROTEL的学习与应用,应用计算机的能力,用简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (1)学习和练习电路设计PROTEL软件, (2)把旋转编码器输出的信号,经整形后送给计数器,使计数器开始计数。 (3)在旋转编码器时有两种方式,顺时针和逆时针,此时方式控制端的触发沿是不一样的。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: 画出电路图,并进行原理图的详细叙述, (1)尽量给出元器件的型号和数值, (2)尽量画出PCB图, (3)写出符合格式要求的设计报告。

课程设计任务书 4.主要参考文献: 5.设计成果形式及要求: 设计说明书及相关电路图 6.工作计划及进度: 2008年12 月29 日~ 12月31 日了解设计题目及熟悉资料; 2009年1月1日~ 1月2 日确定各题目要求计算相关参数; 2009年1月2日~ 1月3 日结合各题目确定具体设计方案; 2009年1月3日~ 1月8 日结合要求具体设计并仿真、整理报告; 2009年1月9日答辩。 系主任审查意见: 签字: 年月日

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

EC16编码器设计应用案例

EC16编码器设计应用案例 摘要:介绍了一种基于单片机的智能仪器前面板的设计及实现方法。根据数字旋钮的特点,在硬件上设计了鉴相电路检测旋钮的正旋和反旋,巧妙地将旋钮扫描和按键扫描统一起来,以Philip低成本的Flash型单片机P89LPC922作为处理芯片,运用了定时中断、状态机、软件去抖、RS-232接口协议等方法实现软件设计,提高按键和旋钮的抗干扰能力,并介绍了用自定义的通信协议计算旋钮转动量和减少主机负担。具有良好的通用性,适用于短周期、低成本的按键和旋钮混合面板设计,并已成功地应用于数字存储大功率半导体管特性曲线图示仪。 关键词:单片机;智能仪器;面板;数字旋钮;鉴相电路 引言: 许多仪器的前面板通常是由诸多的旋钮、按键组成的混合界面。传统的仪器前面板上通常有两种旋钮,一种是电位器,用于调节连续变化的量;另一种是档位开关,用于调节间隔变化的量。它们嵌入在测量电路中,可以直接改变仪器的参数和设置。而在现代智能仪器[1]中,这两类调节均可以通过数字旋钮由微控制器将用户操作的变化量反馈给仪器的主处理器,再由主处理器改变仪器的参数和设置。所以,智能仪器上的数字旋钮和传统仪器上的旋钮在原理和处理方法上有很大不同。为了节省成本,面板处理往往采用体积小、性价比高的单片机(MCU)。运用单片机不但经济灵活,并可充分利用MCU逻辑处理的优势,大大简化外围连线,对旋钮按键混合控制系统[2]的处理尤为突出。 设计采用LPC900系列的P89LPC922Flash单片机来实现软件处理。P89LPC922采用高性能的处理器结构,6倍于标准80C51器件的速率,并自带波特率发生器。充分考虑单片机的资源和处理速度,分模块设计——按钮电路,旋钮电路,串口电路,扫描电路。用protel完成电路原理图,制作电路板,在KeilC环境下编写软件。软件和硬件相结合,协同实现整个面板。 1硬件设计及原理 1.1旋钮电路设计 1.1.1数字旋钮的工作原理 本设计选用常见的编码器EC16系列作为数字旋钮,如图1。4、5脚供固定之用,3脚接VCC(+5V),1、2脚在转动时输出连续脉冲。这种旋钮只有两种操作,即正旋和反旋。通过示波器可以观察到如图所示的旋钮转动时1、2脚的波形。

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

光电编码器电路图

光电编码器电路图 文章出处:https://www.doczj.com/doc/f07964424.html, 发布时间:| 35 次阅读| 0次推荐| 0条留言 EPC-755A光电编码器 具备良好的使用性能,在角度测量、位移测量时抗干扰能力很强,并具有稳定可靠的输出脉冲信号,且该脉冲信号经计数后可得到被测量的数字信号。因此,我们在研制汽车驾驶模拟器时,对方向盘旋转角度的测量选用EPC-755A光电编码器作为传感器 ,其输出电路选用集电极开路型,输出分辨率选用360个脉冲/圈,考虑到汽车方向盘转动是双向的,既可顺时针旋转,也可逆时针旋转,需要对编码器的输出信号鉴相后才能计数。图2给出了光电编码器实际使用的鉴相与双向计数电路,鉴相电路用1个D触发器和2个与非门组成,计数电路用3片74LS193 组成。 当光电编码器顺时针旋转时,通道A输出波形超前通道B输出波形90°,D触发器输出Q(波形W1)为高电平,Q(波形W2)为低电平,上面与非门打开,计数脉冲通过(波形W3),送至双向计数器 74LS193的加脉冲输入端CU,进行加法计数;此时,下面与非门关闭,其输出为高电平(波形W4)。当光电编码器逆时针旋转时,通道A输出波形比通道B输出波形延迟90°,D触发器输出Q(波形W1)为低电平,Q(波形W2)为高电平,上面与非门关闭,其输出为高电平(波形W3);此时,下面与非门打开,计数脉冲通过(波形W4),送至双向计数器74LS193的减脉冲输入端CD,进行减法计数。 汽车方向盘顺时针和逆时针旋转时,其最大旋转角度均为两圈半,选用分辨率为360个脉冲/圈的编码器,其最大输出脉冲数为900个;实际使用的计数电路用3片74LS193组成,在系统上电初始化时,

实验四 编码器和译码器-试验报告

实验报告 ----- 李瑞辉 一、实验目的 1. 学会用逻辑图和VHDL 语言设计3-8 译码器; 2. 学会用逻辑图和VHDL 语言设计8-3 编码器; 二、实验原理 1.74148:8-3优先编码器(8 to 3 Priority Encoder) (1)用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。 (2)逻辑表达式 ①使能输出端O E的逻辑方程为: EO =I0· I1· I2· I3· I4· I5· 67· EI ②扩展片优先编码输出端G S的逻辑方程为: GS = (I0+I1+I2+I3+I4+I5+I6+I7)· EI ③由74148真值表可列输出逻辑方程为: A2 =(I4+I5+I6+I7)EI A1 = (I2I4I5+I3I4I5+I6+7)· EI A0 = (I1I2I4I6+I3I4I6+I5I6+I7)· EI (3)真值表 INPUTS OUTPUTS EN0N 1N 2N 3N 4N 5N 6N 7N A2 A1 A0 EO GS 1×××××××× 1 1 1 1 1

0××××××× 00 0 00 1 0×××××× 0 10 0 10 1 0××××× 0 1 10 1 00 1 0×××× 0 1 1 10 1 10 1 0××× 0 1 1 1 1 1 0 00 1 0×× 0 1 1 1 1 1 1 0 10 1 0× 0 1 1 1 1 1 1 1 1 00 1 00 1 1 1 1 1 1 1 1 1 10 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 2. 74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 (1)用途:与编码器相反。用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。 (2)逻辑表达式 (m i 是最小项) (3)真值表 INPUT OUTPUT

编码器的设计

4线-2线编码器的设计 实验目的 1. 利用Cadence 软件完成一个4线-2线编码器的设计; 2. 完成编码器的瞬态仿真、最高工作频率和功耗的性能指标测试; 3. 学会自底向上地设计基于组合逻辑电路的编码器的设计; 4. 掌握创建符号的步骤; 5. 掌握编码器设计和仿真的步骤; 6. 学会设置仿真参数,从而加深对Cadence 软件仿真的熟悉度。 实验原理 编码和译码的问题在日常生活中经常遇到,数字系统中存储或者处理的信息,常常是用二进制码表示的。用一个二进制代码表示特定含义的信息称为编码,具有编码功能的逻辑电路称为编码器,图1所示为二进制编码器的结构图,它有n 位二进制码输出,与2n 个输入相对应。本次专业技能训练我们设计了一个普通的4线-2线编码器。 图1二进制编码器的结构图 4线-2线编码器真傎表如表1所示: P 0 Y 0 P 1 Y 1 P 2n -1 Yn-1 . . . . . . . .

表1 4个输入P 0 到P 3 为高电平有效信号,输出是两个二进制代码Y 1 Y 0,任何时刻P 0~P 3中只能有一个取值为1,并且有一组对应的二进制码输出。除表中的4种取值组合有效外,其余12种组合所对应的输出均应为0。对于输入或输出变量,凡取1值的用原变量表示,取0值的用反变量表示,由真值表可以得到如下逻辑表达式: Y 1=32103210I I I I I I I I + Y 0=32103210I I I I I I I I + 根据逻辑表达式画出逻辑图,如下图2所示: 图2 4线-2线编码器逻辑图

实验内容及结果 本文的设计思路是首先完成4线-2线编码器所需要的各个模块的电路原理图设计,再将其创建成可以调用的符号,最后按照图2的编码器逻辑图完成首4线-2线编码器的设计。首先需要创建一个库(如:08063224)与各个视图单元inv、 nand4 、nor2和 encode;注意在新建各个视图单元文件时应该都是建到08063224库文件里,不然会提示文件位置报错。 其次按照要求完成编码器的瞬态工作频率功耗等前仿真。实验的内容及结果具体如下: 1.反相器原理图及其符号的创建 开启虚拟机,进入Red Hat Linux后,点击CIW窗口的file→new→library,由此可创建库08063224(用来存放单元视图的文件夹)。将库文件的路径设置在cadence目录下,Name栏输入库名08063224,右侧Technology File栏中选择Attach to an existing techfile,点击窗口OK。 然后再点击file→new→cellview,创建一个单元视图文件夹inv,Library Name 选取为08063224,Cell Name可自定义为inv,设置view name为schematic,设置tool为composer schematic,点击OK,此时便弹出Schematic Editing空白窗口。 输入反相器设计原理图的结果如下图3所示:

编码器原理结构图

光电编码器原理结构图 增量式光电旋转编码器 所谓编码器即是将某种物理量转换为数字格式的装置。运动控制系统中的编码器的作用是将位置和角度等参数转换为数字量。可采用电接触、磁效应、电容效应和光电转换等机理,形成各种类型的编码器。 运动控制系统中最常见的编码器是光电编码器。 光电编码器根据其用途的不同分为旋转光电编码器和直线光电编码器,分别用于测量旋转角度和直线尺寸。光电编码器的关键部件是光电编码装置,在旋转光电编码器中是圆形的码盘(codewheel或codedisk),而在直线光电编码器中则是直尺形的码尺(codestrip)。码盘和码尺根据用途和成本的需要,可由金属、玻璃和聚合物等材料制作,其原理都是在运动过程中产生代表运动位置的数字化的光学信号。

图12.1可用于说明透射式旋转光电编码器的原理。在与被测轴同心的码盘上刻制了按一定编码规则形成的遮光和透光部分的组合。在码环的一边是发光二极管或白炽灯光源,另一边则是接收光线的光电器件。码盘随着被测轴的转动使得透过码盘的光束产生间断,通过光电器件的接收和电子线路的处理,产生特定电信号的输出,再经过数字处理可计算出位置和速度信息。 上面所说的是透射式光电编码器的原理。显然利用光反射原理也可制作光电编码器。 增量编码器的码盘如图12.2所示。在现代高分辨率码盘上,透光和遮光部分都是很细的窄缝和线条,因此也被称为圆光栅。相邻的窄缝之间的夹角称为栅距角,透光窄缝和遮光部分大约各占栅距角的1/2。码盘的分辨率以每转计数(CPR-counts per revolution)表示,亦即码盘旋转一周在光电检测部分可产生的脉冲数。例如某码盘的CPR为2048,则可以分辨的角度为10,311.8”。在码盘上,往往还另外安排一个(或一组)特殊的窄缝,用于产生定位(index)或零位(zero)信号。测量装置或运动控制系统可利用这个信号产生回 零或复位操作。

译码器与编码器的设计与仿真

译码器与编码器的设计与仿真 1.实验目的 a.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器。 b.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。 2.实验内容的详细说明 2.1 74148:8-3优先编码器(8 to 3 Priority Encoder) 2.1.1 设计思想 先定义八个输入四个输出的实体,然后定义结构体,再定义一个进程利用利用if的嵌套来体现使能端与输入信号的优先级,再利用if和else if的结构来选择不同输入时输出信号的不同。 2.1.2 实验原理 1)优先编码器逻辑图 优先编码器逻辑图 2)优先编码器真值表

2.1.3 VHDL程序(详见附录1) 2.1.4 仿真结果 优先编码器画图-功能仿真波形图 优先编码器VHDL-功能仿真波形图2.2 74138:3-8译码器(3 to 8 Demultiplexer)2.2.1 设计思想

先定义六个输入八个输出的实体,再定义结构体和一个用来先存储输出信号组合新的信号,用with..when来将不同输入组合时将不同的输出信号赋给存储信号,当使能端输入组合为100时,将存储信号赋给输出,否则将“1111111”赋给输出信号组合。 2.2.2 实验原理 1)74138(3-8译码器)逻辑图 74138逻辑图 2)74138逻辑功能真值表 2.2.3 VHDL程序(详见附录2) 2.2.4 仿真结果

74138画图-逻辑功能仿真波形图 74138VHDL-逻辑功能仿真波形图 3.实验总结: 通过本次实验,我对优先编码器和译码器的逻辑功能有进一步的了解,了解到译码器与编码器的功能正好相反,编码器是将各种输入信号转换成一组二进制代码,而译码器则是用一组二进制代码来产生各种独立的输出信号。 4.附录(VHDL程序) 4.1 附录1 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY V74148 IS PORT( EIN : IN STD_LOGIC; D:IN STD_LOGIC_VECTOR(0 TO 7); A:OUT STD_LOGIC_VECTOR(0 TO 2) ); END V74148; ARCHITECTURE V74184_A OF V74148 IS

编码器和译码器的设计

目录 1设计目的与要求 (1) 1.1 设计的目的 (1) 1.2 设计要求 (1) 2 VHDL的简单介绍 (2) 2.1 VHDL的简介 (2) 2.2 VHDL的特点 (2) 2.3 VHDL的优势 (3) 2.4 VHDL的设计步骤 (4) 3 EDA的简单介绍 (5) 3.1 EDA的简介 (5) 3.2 EDA设计方法与技巧 (5) 4 设计过程 (7) 4.1编码器的原理 (7) 4.2译码器的原理 (7) 4.3课程设计中各部分的设计 (7) 5 仿真 (10) 5.1八-三优先编码器仿真及分析 (10) 5.2三-八译码器仿真及分析 (11) 5.3二-四译码器仿真及分析 (14) 心得体会 (13) 参考文献 (16) 附录 (17)

摘要 随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。 关键字:计算机编码器译码器

编码器和译码器的设计 1 设计目的与要求 随着社会的进一步发展,我们的生活各个地方都需要计算机的参与,有了计算机,我们的生活有了很大的便利,很多事情都不需要我们人为的参与了,只需要通过计算机就可以实现自动控制。由此,计算机对我们的社会对我们每个人都是很重要的。所以我们要了解计算机得组成,内部各种硬件,只有了解了计算机基本器件已经相应的软件,才能促进社会的发展。编码器和译码器的设计是计算机的一些很基础的知识,通过本次对于编码器和译码器的设计,可以让我知道究竟这种设计是如何实现的,这种设计对我们的生活有什么帮助,这种设计可以用到我们生活的哪些方面,对我们的各种生活有什么重大的意义。 1.1 设计的目的 本次设计的目的是通过简单的编码器和译码器的设计掌握基本的计算机的一些有关的知识,通过查资料已经自己的动手设计去掌握EDA技术的基本原理已经设计方法,并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过将理论知识,各种原理方法与实际结合起来,切实的亲手设计,才能掌握这些非常有用的知识。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。也能通过这种自主设计,增强自己的动手能力,将理论知识切实应用的能力,这对我们将来的发展是很有帮助的。 1.2 设计要求 根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例

编码器的设计报告

实验一、编码器的设计 一、实验目的 学习利用vhdl语言设计编码器的方法,掌握编码器的设计思路;熟悉软件工具的使用方法,掌握软件工具的操作步骤。 二、实验内容 在MAX+plusII输入代码一(见附录)用VHDL语言设计生成8-3编码器,进行VHDL语言文本编辑、编译、波形仿真。 产生仿真波形如下: 8-3编码器仿真图1 在MAX+plusII输入代码二(见附录)用VHDL语言设计生成16-4编码器,进行VHDL语言文本编辑、编译、波形仿真。 产生仿真波形如下:

16-4编码器仿真图1 三、实验心得: 在实验中,通过8-3编码器的程序代码,经过修改得到16-4编码器。8-3编码器通过真值表得到8-3编码器case代码。同理通过16-4编码器真值表得到。本次实验由于首次接触MAX+plusII,在实验过程中遇到了很多软件使用上的问题。经过书本的研究和老师的指导,能够熟悉的使用MAX+plusII的仿真功能。 附录 代码一: library ieee; use ieee.std_logic_1164.all; entity bm8_3 is port(a:in std_logic_vector(0 to 7); b:out std_logic_vector(0 to 2)); end bm8_3; architecture zhang of bm8_3 is begin process(a) begin case a is

when"00000001"=>b<="000"; when"00000010"=>b<="001"; when"00000100"=>b<="010"; when"00001000"=>b<="011"; when"00010000"=>b<="100"; when"00100000"=>b<="101"; when"01000000"=>b<="110"; when others =>b<="111"; end case; end process; end zhang; 代码二: library ieee; use ieee.std_logic_1164.all; entity bm16_4 is port(a:in std_logic_vector(0 to 15); b:out std_logic_vector(0 to 3)); end bm16_4; architecture z of bm16_4 is begin process(a) begin case a is when"0000000000000001"=>b<="0000"; when"0000000000000010"=>b<="0001"; when"0000000000000100"=>b<="0010"; when"0000000000001000"=>b<="0011"; when"0000000000010000"=>b<="0100"; when"0000000000100000"=>b<="0101"; when"0000000001000000"=>b<="0110"; when"0000000010000000"=>b<="0111"; when"0000000100000000"=>b<="1000"; when"0000001000000000"=>b<="1001"; when"0000010000000000"=>b<="1010"; when"0000100000000000"=>b<="1011"; when"0001000000000000"=>b<="1100"; when"0010000000000000"=>b<="1101"; when"0100000000000000"=>b<="1110"; when others =>b<="1111"; end case; end process; end z;

实验二编码器和译码器的应用

实验二编码器和译码器的应用 一.实验目的: 1.学会正确使用中规模集成组合逻辑电路。掌握编码器、译码器、BCD七段 译码器、数码显示器的工作原理和使用方法。 2.掌握译码器及其应用, 学会测试其逻辑功能。 二.实验仪器及器件: 1. TPE—D6Ⅲ型数字电路实验箱 1台 2.数字万用表 1块 3.器件:74LS20 二4输入与非门 1片 74LS04 六反相器 1片 74LS147 10线—4线优先编码器 1片 74LS138 3线—8线译码器 1片 74LS139 双2线—4线译码器 1片 74LS47 七段显示译码器 1片 三.实验预习: 1.复习编码器、译码器、BCD七段译码器、数码显示器的工作原理。 2.熟悉编码器74LS147及译码器74LS138、74LS139各引脚功能和使用方法, 列出74LS138、74LS139的真值表,画出所要求的具体实验线路图。四.实验原理: 在数字系统中,常常需要将某一信息变换为特定的代码,有时又需要在一定的条件下将代码翻译出来作为控制信号,这分别由编码器和译码器来实现。 1.编码:用一定位数的二进制数来表示十进制数码、字母、符号等信息的过 程。编码器:实现编码功能的电路。 编码器功能:从m个输入中选中一个,编成一组n位二进制代码并行输出。 编码器特点:(1)多输入、多输出组合逻辑电路。 (2)在任何时候m个输入中只有一个输入端有效(高电平或 低电平)对应有一组二进制代码输出。 编码器分类:二进制、二─十进制、优先编码器。2.译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。 译码器:实现译码功能的电路。译码器特点:(1)多输入、多输出组合逻辑电路。 (2)输入是以n位二进制代码形式出现,输出是与之对应的 电位信息。

实验三-8线3线优先编码器

●实验名称:利用原理图输入法与VerilogHDL输入法设计一个8线-3线优先编码器 ●实验目的: 1.熟悉用可编程器件实现基本组合逻辑电路的方法。 2.进一步熟悉MAX+plus II软件的使用方法,熟悉原理图输入法和VerilogHDL输入 法,进一步熟悉如何编译,器件选择,管脚分配和仿真。 ●预习要求: 1.回顾数字电路中关于优先编码器的相关知识。 ●实验说明: 1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语 言。 2.优先编码器的功能是允许同时在几个输入端有输入信号,编码器按照输入信号的优 先等级对同时输入的多路信号中优先级最高的一路进行编码。 3.8线-3线优先编码器的真值表如下图所示: ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.新建一张电路图文档,调用8线-3线优先编码器芯片74148(注意其均是低电平有 效),完成设计。 3.对电路图进行编译,仿真。 4.用VerilogHDL语言方式编写一个8线-3线优先编码器。 5.完成编译,管脚分配,并对模块进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。

实验图表与数据: 1. 8线-3线优先编码器电路图: 2. 8线-3线优先编码器电路仿真波形: 3 .8线-3线优先编码器Verilog代码:

4. 8线-3线优先编码器Verilog代码仿真波形:

实验2 译码器及其应用

实验2 译码器及其应用 10数计计科2班 丁琴(41)林晶(39) 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列,其中A2 、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、 S为使能端。其工作原理为: 3 Yi=S1 S2 S3 mi (1)当S2=S3=0,S1=data时 若m0=1,A2=A1=A0=0时则Y0 =S1= data 改变A2、A1、A0使得data出现在不同的输出端 (2)当S1=1, S2=0,S3=data时 若m0=1,则Y0=data; 改变A2A1A0使得data出现在不同的输出端 对照表5-6-1就可判断其功能是否正常。

(a) (b) 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图5-6-3所示,实现的逻辑函数是

相关主题
文本预览
相关文档 最新文档