当前位置:文档之家› 简易电压表实验报告1

简易电压表实验报告1

简易电压表实验报告1
简易电压表实验报告1

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

c计算器实验报告

简单计算器 姓名: 周吉祥 实验目的:模仿日常生活中所用的计算器,自行设计一个简单的计算器程序,实现简单的计算功能。 实验内容: (1)体系设计: 程序是一个简单的计算器,能正确输入数据,能实现加、减、乘、除等算术运算,运算结果能正确显示,可以清楚数据等。 (2)设计思路: 1)先在Visual C++ 6.0中建立一个MFC工程文件,名为calculator. 2)在对话框中添加适当的编辑框、按钮、静态文件、复选框和单选框 3)设计按钮,并修改其相应的ID与Caption. 4)选择和设置各控件的单击鼠标事件。 5)为编辑框添加double类型的关联变量m_edit1. 6)在calculatorDlg.h中添加math.h头文件,然后添加public成员。 7)打开calculatorDlg.cpp文件,在构造函数中,进行成员初始化和完善各控件 的响应函数代码。

(3)程序清单: 添加的public成员: double tempvalue; //存储中间变量 double result; //存储显示结果的值 int sort; //判断后面是何种运算:1.加法 2.减法 3.乘法 4.除法 int append; //判断后面是否添加数字 成员初始化: CCalculatorDlg::CCalculatorDlg(CWnd* pParent /*=NULL*/) : CDialog(CCalculatorDlg::IDD, pParent) { //{{AFX_DATA_INIT(CCalculatorDlg) m_edit1 = 0.0; //}}AFX_DATA_INIT // Note that LoadIcon does not require a subsequent DestroyIcon in Win32 m_hIcon = AfxGetApp()->LoadIcon(IDR_MAINFRAME); tempvalue=0;

用电压表和电流表测电阻实验报告

用电压表和电流表测电阻实验报告(人教版) 1、实验目的:_______________________________________________________ 2、实验器材:__________、__________、__________、__________、__________、 __________、_________________。 3、实验电路图:(如右图所示) 4、实验原理:______________________ 5、实验注意事项: 压表都应处于最大量程,滑动变阻器的电阻处于电阻最大的状态,开关应断开。 ②连接完毕,能够试触一下,闭合开关,如发现指针摆动过大,指针反向偏转等情况,应立即断开电源,避免损坏电表。 ③用滑动变阻器改变电路中电流时,电表的量程要恰当,选择电表的量程过大,指针偏转过小,会影响读数的精确度,电表每次的读数相差要尽量大些,以减小实验误差。 ④数据处理可采用计算法,即根据每一组的电压和电流强度值,根据R U I 计算电阻 值,再取平均值。 6、实验步骤: A.按电路图连接线,此时电键应处于断开状态,且让滑动变阻器,处于最大电阻值。 B.估算或用试触确定所选伏特表和安培表量程是否恰当,若不当,则调整。 C.检查电路无误后,接通电路,开始实验。 D.闭合开关,观察和记录安培表,伏特表的示数填入下面表格中(或自己设计表格)。 E.改变滑动变阻器滑动片的位置,重复步骤D,并根据欧姆定律计算出三次测量的 平均值。 数据 次数 U(伏)I(安)Rx(欧) Rx的平均值(欧) 1 2 3 (3)计算出Rx的三次阻值,求出Rx的平均值。Rx=(Rx1+Rx2+Rx3)/3 7、实验结果:Rx=(R1+R2+R3)/3=_______________________=________欧姆 8、整理器材:实验完毕要整理好仪器。 ☆☆☆(实验要求:积极动手,按要求操作,记录数据、计算结果要实事求是。实 验完毕后,将导线取下捆成一捆,并将仪器排放整齐。) ☆☆☆ 1.★串联、并联电路的特点: 在使用欧姆定律对电路实行判定和计算时必须要充分利用串联,并联电路的特点。 1、串联电路的特点: ⑴在串联电路中,电流强度处处相等 用公式写出为I总=I1=I2=I3=…… ⑵在串联电路中,总电压等于各段电压之和

电压表实验报告

程序: #include #define uchar unsigned char #define uint unsigned int #define ADGO ADCON0bits.GO #define fmq PORTEbits.RE0 #define m1l 57904 //低8度#define m2l 58736 #define m3l 59472 #define m4l 59804 #define m5l 60432 #define m6l 60992 #define m7l 61488 #define m1 61712 //中 #define m2 62168 #define m3 62500 #define m4 62672 #define m5 62984 #define m6 63264 #define m7 63512 #define m1h 63624 //高8度#define m2h 63832 #define m3h 64048 #define m4h 64104 #define m5h 64260

#define m6h 64400 #define m7h 64524 #define p 1000 //节拍时长 #define ph p/2 //半拍 #define pd p*2 //双拍 #define pf p*3/4 #define pg p/4 uint song[]={m6l,m1,m3,m4,m2,m3,m4,m6,m5,m4,m3,m1,m1,m2,m3,m5,m4,m3,m2,m2,m2,m7l,m1, m2,m4,m3,m2,m2,m2,m2,m3,m3,m3,m5,m6,m3,m3,m3,m3,m5,m2,m2,m2,m3,m5,m2,m2,m3,m6 l,m6l};//《手掌心》简谱 uint time[]={p,ph,ph,pf*2,pg,pg,ph,ph,ph,ph,p,ph,pg,pg,ph,ph,ph,pg,pg,p,ph,pg,pg,ph,ph,pg,pg,pg,pg, pg,pf,pd,ph,ph,pg,pg,pg,pg,pg,ph,pg,p,ph,ph,ph,ph,pg,ph,pg,p};//对应的歌曲节拍 uint total = 50; uint counter = 0,num = 0, i = 0; uint flag=0; uint a1=0,a2=0,a3=0,a4=0; uint lednum=0; uchar num_h[]={0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x00,0x10};//共阳数码管“0.—9.”定义 uchar num_l[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};//共阳数码管“0 --9”定义 void Delay25us(unsigned int x);//延时函数声明 unsigned int AD_Trs();//Ad转换函数声明 void display(void);//数码管显示函数声明 void Tmr_Init(void);//定时器初始化函数声明 void High_Interrupt(void);//定时器中断跳转函数声明 void Stopwatch(void);//定时器中断执行函数声明 void main(void)//主函数 { WDTCONbits.SWDTEN = 0;//关闭看门狗 TRISC=0X00;//输入输出端口初始化 TRISD=0X00; PORTC=0X00; TRISE=0X00; PORTEbits.RE0=0;//用于音乐输出 TRISAbits.TRISA0=1; //AD转换采集电压输入 PORTAbits.RA0=0; ADCON0=0x01;//使能ADC,模拟通道选择AN0(RA0) ADCON1 = 0x00;//正负参考电压从单片机内部获取 ADCON2 = 0xa5;//A/D 转换结果格式为右对齐

电位差计校准电表实验报告(完整版)

电位差计校准电流表

3 、电位差计的标准 要想使回路的工作电流等于设计时规定的标准值I O ,必须对电位差计进行校准。方法如图所示。E S 是已知的标准电动势,根据它的大小,取cd 间电阻为R cd ,使R cd =E S /I O ,将开关K 倒向E S ,调节R 使检流计指针无偏转,电路达到补偿,这时I O 满足关系I O = E S /R cd ,由于已知的E S 、R cd 都相当准确,所以I O 就被精确地校准到标准值,要注意测量时R 不可再调,否则工作电流不再等于I O 。 4﹑电流表的校准 校正电流表的电路如图5-20-4所示,图中毫安表为被校准电流表,R 为限流器,s R 为标准电阻,有4个接头,上面两个是电流接头,接电流表,下面两个是电压接头,接电位差计。电位差计可测出s R 上的电压s U ,则流过s R E R a b c d Es Ex K 图5-20-4 电位差计校正电流表电路

中电流的实际值为s s R U I /0= 在毫安表上读出电流指示值I ,与0I 进行比较,其差值0I I I -=?称为电流表指示值的绝对误差。找出所测值中的最大绝对误差m I ?,按式(0-0-1)确定电流表级别。 %100??= 量限 m I a (0-0-1) 电路实物图: 五、实验内容及步骤 1、校准学生式电位差计 使用电位差计之前,先要进行校准,使电流达到规定值。先放好R A 、R B 和R C ,使其电压刻度等于标准电池电动势,取掉检流计上短路线,用所附导线将K 1、K 2、K 3、G 、R 、R b 和电位差计等各相应端钮间按原理线路图进行连接,经反复检查无误后,接入工作电源E ,标准电池E S 和待测电动势E X ,R b 先取电阻箱的最大值,(使用时如果检流计不稳定,可将其值调小,直到检流计稳定为止),合上K 1、K 3,将K 2推向E S (间歇使用),并同时调节R ,使检流计无偏转(指零),为了增加检流计灵敏度,应逐步减少R b ,如此反复开、合K 2 ,确认检流计中无电流流过时,则I O 已达到规定值。

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

数电实验二:简易计算器(实验报告)

数电实验2实验报告 1、设计修改方案 (1)加入编码器连接4选一数据选择器,控制进行运算的种类 (2)修改了输出端数据选择器的程序,使得当计算器没有任何输入时,结果显示保持为0,并且利用芯片自身的灭零管脚,让显示结果中,当十位为零时,十 位的零不显示。

2、实验数据及分析 (1)修改后电路图(附后) (2)仿真波形 设置输入2个4位二进制数为0110(十进制6)和0010(十进制2),计算方式控制SW[3:0]设为0111,即模拟除法操作,加入时钟信号。 ①模拟除法波形: 可以看到十位(商)的数码管显示中,1、2、3、4、7段亮,显示为数字3,而个位(余数)显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6除2商3余0。满足计算要求。 ②模拟乘法波形:(SW[3:0]设为1011,其他输入同上)

可以看到个位的数码管显示中,1、4、5、6段亮,显示为C(化为十进制为12),而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6乘2等于0C,即等于12。当改变输入4和2是,显示结果为8,。满足计算要求。 ③模拟加法波形:(SW[3:0]设为1101,其他输入同上) 可以看到个位的数码管显示中,1、2、3、4、5、6、7段全亮,显示为数字8,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6加2等于08,即等于8。满足计算要求。 ④模拟减法波形:(SW[3:0]设为1110,其他输入同上) 可以看到个位的数码管显示中,2、3、6、7段亮,显示为数字3,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6减2等于03,即等于3。满足计算要求。 从上面加减乘除四种功能运算的波形仿真可以看出,本实验设计能够正确完成对输入数字的上述四种运算。满足题目要求。

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

单片机实验报告 计算器

单片机原理及其应用实验报告基于51单片机的简易计算器的设计 班级:12电子1班 姓名:金腾达 学号:1200401123 2015年1月6日

摘要 一个学期的51单片机的课程已经随着期末的到来落下了帷幕。“学以致用”不仅仅是一句口号更应该是践行。本设计秉承精简实用的原则,采用AT89C51单片机为控制核心,4X4矩阵键盘作为输入,LCD1602液晶作为输出组成实现了基于51单片机的简易计算器。计算器操作方式尽量模拟现实计算器的操作方式,带有基本的运算功能和连续运算能力。并提供了良好的显示方式,与传统的计算器相比,它能够实时显示当前运算过程和上一次的结果,更加方便用户记忆使用。本系统制作简单,经测试能达到题目要求。 关键词:简易计算器、单片机、AT89C51、LCD1602、矩阵键盘

目录 一、系统模块设计......................................................................................... 错误!未定义书签。 1.1 单片机最小系统 (1) 1.2 LCD1602液晶显示模块 (1) 1.3 矩阵按键模块 (2) 1.4 串口连接模块 (1) 二、C51程序设计 (2) 2.1 程序功能描述及设计思路 (2) 2.1.1按键服务函数 (2) 2.1.2 LCD驱动函数 (2) 2.1.3 结果显示函数 (2) 2.1.4状态机控制函数 (2) 2.1.5串口服务函数 (2) 2.2 程序流程图 (3) 2.2.1系统总框图 (3) 2.2.2计算器状态机流程转换图 (3) 三、测试方案与测试结果 (4) 3.1测试方案 (4) 3.3 测试结果及分析 (7) 4.3.1测试结果(仿真截图) (7) 4.3.2测试分析与结论 (7) 四、总结心得 (7) 五、思考题 (8) 附录1:整体电路原理图 (9) 附录2:部分程序源代码 (10)

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

c计算器实验报告

c计算器实验报告集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

简单计算器 姓名: 周吉祥 实验目的:模仿日常生活中所用的计算器,自行设计一个简单的计算器程序,实现简单的计算功能。 实验内容: (1)体系设计: 程序是一个简单的计算器,能正确输入数据,能实现加、减、 乘、除等算术运算,运算结果能正确显示,可以清楚数据等。 (2)设计思路: 1)先在Visual C++ 中建立一个MFC工程文件,名为calculator. 2)在对话框中添加适当的编辑框、按钮、静态文件、复选框和 单选框 3)设计按钮,并修改其相应的ID与Caption. 4)选择和设置各控件的单击鼠标事件。 5)为编辑框添加double类型的关联变量m_edit1. 6)在中添加头文件,然后添加public成员。 7)打开文件,在构造函数中,进行成员初始化和完善各控件的 响应函数代码。 (3)程序清单: 添加的public成员: double tempvalue; 法 2.减法 3.乘法 4.除法

int append; //判断后面是否添加数字 ●成员初始化: CCalculatorDlg::CCalculatorDlg(CWnd* pParent /*=NULL*/) : CDialog(CCalculatorDlg::IDD, pParent) { //{{AFX_DATA_INIT(CCalculatorDlg) m_edit1 = ; //}}AFX_DATA_INIT // Note that LoadIcon does not require a subsequent DestroyIcon in Win32 m_hIcon = AfxGetApp()->LoadIcon(IDR_MAINFRAME); tempvalue=0; result=0; sort=0; append=0; } ●各控件响应函数代码: void CCalculatorDlg::OnButton1() //按钮“1” { // TODO: Add your control notification handler code here if(append==1)result=0;

测量电压实验报告

测量电压实验报告 篇一:基于Labview的电压测量仿真实验报告 仿真实验一基于Labview的电压测量仿真实验 一、实验目的 1、了解电压测量原理; 2、通过该仿真实验熟悉虚拟仪器技术——LABVIEW的简单编程方法; 3、通过本次实验了解交流电压测量的各种基本概念。 二、实验仪器 微机一台、LABVIEW8.5软件三、实验原理 实验仿真程序如下(正弦波、三角波、锯齿波、方波(占空比30%、50%、60%): 四、实验内容及步骤 (1)自己编写LABVIEW仿真信号源实验程序,要求可以产生方波(占空比 可调)、正弦波、三角波、锯齿波等多种波形,而且要求各种波形的参数可调、可控。 (2)编写程序对各种波形的有效值、全波平均值、峰

值等进行测量,在全波平均值测量时要注意程序编写过程。同时记录各种关键的实验程序和实验波形并说明。 实验所得波形如下:(正弦波、三角波、锯齿波、方波(占空比30%、50%、60%): 正弦波: 三角波: 锯齿波: 方波(占空比30%): 方波(占空比50%): 方波(占空比60%): (3)对各种波形的电压进行测量,并列表记录。如下表: 五、实验小结 由各波形不同参数列表可知,电压量值可以用峰值、有效值和平均值表征。被测电压是非正弦波的,必须根据电压表读数和电压表所采用的检波方法进行必要地波形换算,才能得到有关参数。 篇二:万用表测交流电压实验报告1

万用表测交流电压实验报告 篇三:STM32 ADC电压测试实验报告 STM32 ADC电压测试实验报告 一、实验目的 1.了解STM32的基本工作原理 2. 通过实践来加深对ARM芯片级程序开发的理解 3.利用STM32的ADC1通道0来采样外部电压值值,并在TFTLCD模块上显示出来 二、实验原理 STM32拥有1~3个ADC,这些ADC可以独立使用,也可以使用双重模式(提高采样率)。STM32的ADC是12位逐次逼近型的模拟数字转换器。它有18个通道,可测量16个外部和2个内部信号源。各通道的A/D转换可以单次、连续、扫描或间断模式执行。ADC的结果可以左对齐或右对齐方式存储在16位数据寄存器中 接下来,我们介绍一下执行规则通道的单次转换,需要用到的ADC寄存器。第一个要介绍的是ADC控制寄存器(ADC_CR1和ADC_CR2)。ADC_CR1的各位描述如下: ADC_CR1的SCAN位,该位用于设置扫描模式,由软件

电表的改装与校准实验报告.doc

大学物理实验报告 实验时间: 2016 年 3 月 14 日 实验名称: 电表的改装与校准 成绩: 学号: 73 实验目的: 班级: 自动化 153 班 姓名:廖俊智 1、测量微安表头的内电阻 R g ,量程 I g 2、掌握将 100uA 表头改装成 10mA 的电流表和 5V 电压表的方法; 3、学会校准电流表和电压表的方法。 图 3 实验仪器: 用于改装的微安表头、数字多用表、电阻箱、滑动变阻器、直流稳压电流、导线等。 实验原理: 1. 微安表头的内电阻 R g ,量程 I g 的测定 测量内阻 R g 的方法很多,本实验采用替代法。如图 1 所示。当被改电流计 ( 表头 ) 接在电路中 时,选择适当的电压 E 和 I E R R 值使表头满偏, 记下此时标准电流表的读数 a ;不改变电压 W 和 W 的 值,用电阻箱 R 13 替代被测电流计,调节电阻箱 R 13 的阻值使标准电流表的读数仍为 I a ,此时电阻 箱的阻值即为被测电流计的内阻 R g 。 + – mA 1 被改装电流计 + – ° ° mA ° 2 ° ° ° R 13 E R W 1.将 A 表头改装成大量程的电流表 因为微安表头的满刻度电流 ( 量程 ) 很小,所以在使用表头测量较大的电流前, 需 要扩大它的电流量程。扩大量程的方法是,在表头两端并联一个阻值较小的电阻 R P (如图 1)使流过表头的电流只是总电流的一部分。表头和 R P 组成的整体就是电流 表。 R P 称为分流电阻。选用不同阻值的 R P 可以得到不同量程的电流表。 在图 1 中,当表头满度时,通过电流表的总电流为 I ,通过表 图 1

基于某STC89C52的数字电压表设计报告材料

荆楚理工学院 单片机课程设计成果 学院: 电子信息工程学院班级: 13电气2班 学生姓名:xxx学号:xxxxxxxxxxxxxxxx 设计地点(单位)单片机实验室D1302 设计题目:数字电压表 完成日期:2015年7月3日 指导教师评语: _________________________________ 成绩(五级记分制): 教师签名:

摘要 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以STC89C52单片机为核心,以逐次逼近式A/D转换器ADC0809、数码管显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的STC89C52单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上。根据需要本设计采用逐次逼近型A ∕D转换器ADC0809进行模数转换。 3、数码管显示部分。其中一位为整数部分,其余位小数部分。 关键词:STC89C52 模数转换数码管显示

目录 1.方案设计与论证 (4) 1.1方案设计 (4) 1.2方案论证 (4) 2.系统硬件电路设计 (4) 2.1系统原理框图 (4) 2.2 A/D转换电路 (5) 2.3单片机主控电路 (5) 2.4电压显示电路 (7) 2.5总体电路设计 (8) 3.系统测试 (10) 3.1测试方法与结果 (10) 3.2测试结论 (11) 3.3误差分析 (11) 4.设计总结 (11) 参考文献 (13) 附录 (14)

简易计算器设计实验报告

简易计算器设计实验报告 一.设计任务及要求 1.1实验任务: 根据计算器的原理设计一个具有加减乘除功能的简易计算器。如:5+3*4/8=4。 1.2 实验基本要求: (1)实现最大输入两位十进制数字的四则运算(加减乘除)。 (2)能够实现多次连算(无优先级,从左到右计算结果)。 如:12+34*56-78/90+9=36 (3)最大长度以数码管最大个数为限,溢出报警。 二.实验设计方案 (1)用QuartusII的原理图输入来完成系统的顶层设计。 (2)用VHDL编写以及直接拖模块来各功能模块。 (3)通过2个脉冲分别实现个位数和十位数的输入。 (4)通过选择每次的输出数值,将输出值反馈到运算输入端 (4)通过除法运算实现十六进制到十进制的转换输出。 其具体实现流程图如下:

三系统硬件设计 FPGA: EP2C5T144C8目标板及相应外围硬件电路。(从略) 四系统软件设计 1.数据输入模块 原理:用VHDL创建模块,通过两个脉冲分别对两个数码管进行输入控制,再通过相应运算模块将两个独立数据转化成两位十进制数字。 2.运算模块 原理:用VHDL创建模块,四种运算同步运行,通过按键加、减、乘、除选择输出对应的计算结果,当按键等号来时,将所得结果反馈给运算模块输入端。具体实现代码见附录二。 3.输出模块 原理:用VHDL创建模块,通过按键等号来控制显示运算对象还是运算结果,当等号按下时,输出计算结果,否则显示当前输入的数据,并且通过除法模块将十六进制转化为十进制。当输出结果溢出是LED0亮,同时数码管显示都为零。部分实现见附录二。 五实验调试 输入数据12,再按加法键,输入第二个数字25,按等号键,数码管显示37;按灭加法、等号键,输入第二个数据2,依次按等号键,减法键,数码管显示35;同上,按灭减法键、等号键,输入第三个数据7,依次按等号键,除法键,数码管显示5;按灭除法键、等号键,输入第四个数据99,依次按等号键,乘法键,数码管显示495,按灭乘法键、等号键,当前显示为99,依次按等号键、乘法键,数码管显示49005,同上进行若干次之后,结果溢出,LED0亮,同时数码管显示都为零。当输出为负数时,LED0灯变亮,同时数码管显示都为零。六实验结论 本实验基本实现了计算器的加减乘法运算功能,但是存在一个突出的缺陷,就是当输出结果时,必须先按等号键导通数据反馈,再按运算键选择输出结果。这与实际应用的计算器存在很大的差距。但是,本设计可以通过等号键实现运算对象和运算结果之间的切换。

高电压技术实验实验报告(二)

----高电压技术实验报告 高电压技术实验报告 学院电气信息学院 专业电气工程及其自动化

实验一.介质损耗角正切值的测量 一.实验目的 学习使用QS1型西林电桥测量介质损耗正切值的方法。 二.实验项目 1.正接线测试 2.反接线测试 三.实验说明 绝缘介质中的介质损耗(P=ωC u2 tgδ)以介质损耗角δ的正切值(tgδ)来表征,介质损耗角正切值等于介质有功电流和电容电流之比。用测量tgδ值来评价绝缘的好坏的方法是很有效的,因而被广泛采用,它能发现下述的一些绝缘缺陷: 绝缘介质的整体受潮; 绝缘介质中含有气体等杂质; 浸渍物及油等的不均匀或脏污。 测量介质损耗正切值的方法较多,主要有平衡电桥法(QS1),不平衡电桥法 及瓦特表法。目前,我国多采用平衡电桥法,特别是 工业现场广泛采用QS1型西林电桥。这种电桥工作电 压为10Kv,电桥面板如图2-1所示,其工作原理及操 作方法简介如下: ⑴.检流计调谐钮⑵.检流计调零钮 ⑶.C4电容箱(tgδ)⑷.R3电阻箱 ⑸.微调电阻ρ(R3桥臂)⑹.灵敏度调节钮 ⑺.检流计电源开关⑻.检流计标尺框 ⑼.+tgδ/-tgδ及接通Ⅰ/断开/接通Ⅱ切换钮 ⑽.检流计电源插座⑾.接地 ⑿.低压电容测量⒀.分流器选择钮⒁.桥体引出线 1)工作原理: 原理接线图如图2-2所示,桥臂BC接入标准电容C N (一般C N =50pf),桥臂BD由固定的无感电阻R 4 和可调电 容C 4并联组成,桥臂AD接入可调电阻R 3 ,对角线AB上接 QS1西林电桥面板图

入检流计G ,剩下一个桥臂AC 就接被试品C X 。 高压试验电压加在CD 之间,测量时只要调节R 3和C 4就可使G 中的电流为零,此时电桥达到平衡。由电桥平衡原理有: BD CB AD CA U U U U = 即: BD CB AD CA Z Z Z Z = (式2-1) 各桥臂阻抗分别为: X X X X CA R C j R Z Z ?+= =?1 44441R C j R Z Z BD ?+==? 33R Z Z AD == N N CB C j Z Z ?1= = 将各桥臂阻抗代入式2-1,并使等式两边的实部和虚部分别相等,可得: 3 4 R R C C N X ? = 44R C tg ??=?δ (式2-2) 在电桥中,R4的数值取为=10000/π=3184(Ω),电源频率ω=100π,因此: tg δ= C 4(μf ) (式2-3) 即在C 4电容箱的刻度盘上完全可以将C 4的电容值直接刻度成tg δ值(实际上是刻度成tg δ(%)值),便于直读。 2)接线方式: QS1电桥在使用中有多种接线方式,如下图所示的正接线、反接线、对角接线,低压测量接线等。 正接线适用于所测设备两端都对地绝缘的情况,此时电桥的D 点接地,试验高电压在被试品及标准电容上形成压降后,作用于电桥本体的电压很低,测试操作很安全也很方便,而且电桥的三根引出线(C X 、C N 、E )也都是低压,不需要与地绝缘。 反接线适用于所测设备有一端接地的情况,这时是C 点接地,试验高电压通过电桥加在被试品及标准电容上,电桥本体处于高电位,在测试操作时应注意安全,电桥调节手柄应保证具有15kv 以上的交流耐压能力,电桥外壳应保证可靠接地。电桥的三根引出线为高压线,应对地绝缘。 对角接线使用于所测设备有一端接地而电桥耐压又不够,不能使用反接线的情况,但这种接线的测量误差较大,测量结果需进行校正。 低压接线可用来测量低压电容器的电容量及tg δ值,标准电容可选配0.001μf (可测C X 范围为300pf ~10μf )或0.01μf (可测C X 范围为3000pf ~100μf ) 3.分流电阻的选择及tg δ值的修正:

电路仿真实验报告

本科实验报告 实验名称:电路仿真 实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或

AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源 I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描点数为10,观察输出节点为Vout响应。 TRAN分析:分析5个周期输出节点为Vout的时域响应。 实验结果: 要求将实验分析的数据保存 (包括图形和数据),并验证结果是否正确,最后提交实验报告时需要将实验结果附在实验报告后。 根据并联谐振电路原理,谐振时节点out电压最大且谐振频率为w0=1/LC=1000 10,f0=w0/2 =503.29Hz 谐振时节点out电压 * 理论值由分压公式得u=2000/(2000+10)*5=4.9751V.

相关主题
文本预览
相关文档 最新文档