当前位置:文档之家› 基于ICL7107数字电压表

基于ICL7107数字电压表

基于ICL7107数字电压表
基于ICL7107数字电压表

西安电子科技大学

长安学院

课程设计

设计题目:数字电压表的仿真与设计

学院:长安学院

系别:电子工程

专业:电子科学与技术:

班级:06521

学号:06521002

姓名:***

指导老师:王勇

目录

一. 摘要 (2)

二.课程设计任务与要求 (2)

2.1设计目的 (2)

2.2设计要求 (2)

三.总体设计思路 (3)

3.1方案选择 (3)

3.2系统框图 (3)

四.课程设计框图及工作原理 (4)

4.1 工作原理 (4)

4.2 ICL7107的工作原理 (5)

4.3 ICL7107 安装电压表头时的一些要点 (8)

4.4 关于多量程电路部分 (10)

五.电路设计与仿真 (12)

六.系统调试及结果分析 (13)

6.1调试仪器 (13)

6.2 调试方法 (13)

6.3 测试结果分析 (13)

6.4 硬件实物图 (13)

七.元器件清单 (14)

八.设计心得体会 (14)

九.参考文献 (14)

一.摘要

数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的

模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自

动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM扩展而

成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。

本章重点介绍单片A/D 转换器以及由它们构成各种新型数字电压表的工作原理。

数字电压表具有以下九大特点:

1. 显示清晰直观,读数准确

2. 准确度高

3. 分辨率高

4. 测量范围宽

5. 扩展能力强

6. 测量速率快

7.输入阻抗高

8. 集成度高,微功耗

9. 抗干扰能力强

二.课程设计任务与要求

2.1、设计目的

1、了解双积分式A/D转换器的工作原理

2、熟悉A/D转换器ICL7107的性能及其引脚功能

3、掌握用ICL7107构成直流数字电压表的方法

2.2、设计要求

1、设计一个数字电压表电路。

2、测量范围:直流电压0V~1.999V,0V~19.99V,0V~199.9V,0V~1999 V。

3、组装调试数字电压表。

4、画出数字电压表电路原理图,写出实验报告。

三.课程设计总体设计思路

3.1方案选择

1). 根据设计要求和功能的实现,我们考虑了如下三个可行性方案:

方案1:主要器件由芯片ICL7106和液晶显示器LCD组成

关键词:芯片ICL7106 液晶显示器LCD

图一为方案1的简易原理方框图。

由于7106是把模拟电路与逻辑电路集成在一块芯片上,属于大规模CMOS集成电路,因此本方案主要有以下特点:(1)采用单电源供电,可使用9V迭层电池,有助于实现仪表的小型化。(2)芯片内部有异或门输出电路,能直接驱动LCD显示器。(3)功耗低。芯片本身消耗电流仅1。8mA,功耗约16mW。(4)输入阻抗极高,对输入信号无衰减作用。(5)能通过内部的模拟开关实现自动调零和自动显示极性的功能。(6)噪声低,失调温标和增益温标均很小。具有良好的可靠性,使用寿命长(7)整机组装方便,无须外加有源器件,可以很方便地进行功能检查。

方案2:主要器件由芯片ICL7107和共阳极半导体数码管LED组成。

关键词:A/D转换器芯片ICL7107 共阳极半导体数码管LED

图二为方案2的简易原理方框图。

本方案的主要特点是:(1)能直接驱动共阳极的LED显示器,不需要另加驱动器件,使整机线路简化。(3)采用+5V和—5V两组电源供电。(4)LED属于电流控制器件,在3 1/2位数字仪表中采用直流驱动方式,芯片本身功耗较小。(5)显示亮度较高。

方案3:主要器件由芯片MC14433和共阴极半导体数码管LED组成。

MC14433是美国摩托罗拉公司生产的单片3?位A/D转换器,它适合构成带BCD码输出的3?位LED显示数字电压表,是目前应用较为普遍的一种低速A/D转换器。

MC14433的性能特点:

(1)MC14433属于CMOS大规模集成电路,其转换准确度为±0.05%。内含时钟振荡器,仅需外接一只振荡电阻。能获得超量程(OR)、欠量程(UR)信号,便于实现自动转换量程。能增加读数保持(HOLD)功能。电压量程分两挡:200mV、2V,最大显示值分别为199.9mV、1.999V。量程与基准电压呈1∶1的关系,即UM=UREF。

(2)需配外部的段、位驱动器,采用动态扫描显示方式,通常选用共阴极LED数管。

(3)有多路调制的BCD码输出,可直接配μP构成智能仪表。

(4)工作电压范围是±4.5 V~±8V,典型值为±5V,功耗约8mW。

3.2系统框图

本文设计的电压表是一个3位半直流电压测量的数字式电压表,测量范围为直流0~199mV、0~1.99V、0~19.99V、0~199.9V、0~1999V,共5 个量程。电压值显示稳定,读数方便,能测量正、负电压且能自动切换量程,使用方便。系统框图(如图 1 所示)。本系统可分为测试电压转换、模拟电压通道、数据电压通道(A/D 转换及译码锁存)、数码显示、小数点驱动电路5部分。

图1系统框图

四.课程设计框图及工作原理

4.1工作原理

ICL7107 是双积型的A/D 转换器,还集成了A/D 转换器的模拟部分电路,如缓冲器、积分器、电压比较器、正负电压参考源和模拟开关,以及数字电路部分如振荡源、计数器、锁存器、译码器、驱动器和控制逻辑电路等,使用时只需外接少量的电阻、电容元件和显示器件,就可以完成模拟到数字量的转换,从而满足设计要求。显示稳定可读和测量反应速度快,是本设计的关键。ICL7107 的一个周期为用4000 个计数脉冲时间作为A/D 转换的一个周期时间,每个周期分成自动稳零(AZ)、信号积分(INT)和反积分(DE)3 个阶段。内部逻辑控制电路不断地重复产生AZ、INT、DE 3 个阶段的控制信号,适时地指挥计数器、锁存器、译码器等协调工作,使输出对应于输入信号的数值。而输入模拟量的数值在其内部数值上等于计数数值T,即:VIN 的数值=T 的数值或Vin=Vref(T/1000) 式中:1000 为积分时间(1000 个脉冲周期);T 为反积分时间(满度时为2000)。

ICL7107的管脚排列:

管脚1和26是ICL7107的正、负极。COM为模拟信号的公共端,简称模拟地,使用时应与IN-、UREF-端短接。TEST是测试端,该端经内部500Ω电阻接数字电路的公共端(GND),因二者呈等电位,故亦称做数字地。该端有两个功能:①作测试指示,将它接U+时LCD显示全部笔段1888、可检查显示器有无笔段残缺现象;②作为数字地供外部驱动器使用,来构成小数点及标志符的显示电路。a1~g1、a2~g2、a3~g3、bc4分别为个位、十位、百位、千位的笔段驱动端,接至LCD的相应笔段电极。千位b、c段在LCD内部连通。当计数值N>1999时显示器溢出,仅千位显示“1”,其余位消隐,以此表示仪表超量程(过载溢出)。POL为负极性指示的驱动端。BP为LCD背面公共电极的驱动端,简称“背电极”。OSC1~OSC3为时钟振荡器引出端,外接阻容元件可构成两级反相式阻容振荡器。UREF+、UREF-分别为基准电压的正、负端,利用片内U+-COM之间的+2.8V

基准电压源进行分压后,可提供所需UREF值,亦可选外基准。CREF+、CREF-是外接基准电容端。IN+、IN-为模拟电压的正、负输入端。CAZ端接自动调零电容。BUF是缓冲放大器输出端,接积分电阻RINT。INT为积分器输出端,按积分电容CINT。需要说明,ICL7106的数字地(GND)并未引出,但可将测试端(TEST)视为数字地,该端电位近似等于电源电压的一半。

4.2ICL7107的工作原理

ICL7107内部包括模拟电路和数字电路两大部分,二者是互相联系的。一方面由控制逻辑产生控制信号,按规定时序将多路模拟开关接通或断开,保证A/D 转换正常进行;另一方面模拟电路中的比较器输出信号又控制着数字电路的工作状态和显示结果。下面介绍各部分的工作原理。

(1)模拟电路

模拟电路由双积分式A/D转换器构成,电路如图2所示。主要包括2.8V基准电压

图2 ICL7107的模拟电路

源(E0)、缓冲器(A1)、积分器(A2)、比较器(A3)和模拟开关等组成。缓冲器A4专门用来提高COM端带负载的能力,可谓设计数字多用表的电阻挡、二极管挡和hFE挡提供便利条件。这种转换器具有转换准确度高、抗串模干扰能力强、电路简单、成本低等优点,适合做低速模/数转换。每个转换周期分三个阶段进行:自动调零(AZ)、正向积分(INT)、反向积分(DE),并按照AZ→INT→DE→AZ…的顺序进行循环。令计数脉冲的周期为TCP,

每个测量周期共需4000TCP。其中,正向积分时间固定不变,T1=1000TCP。仪表显示值,

将T1=1000TCP,UREF=100.0mV代入上式得

N=10UIN 或UIN=0.1N (2-2)

只要把小数点定在十位上,即可直读结果。满量程时N=2000,此时UM=2UREF=200mV,仪表显示超量程符号“1”。

欲测量2V以上的直流电压,必须利用精密电阻分压器对UIN进行衰减。积分电阻应采用金属膜电阻,积分电容宜选绝缘性好、介质吸收系数小的聚苯乙烯电容或聚丙烯电容。

为了提高仪表抗串模干扰的能力,正向积分时间(亦称采样时间)T1应是工频周期的整倍数。我国采用50Hz交流电网,其周期为20ms,应选

T1=n·20(ms)(2-3)

式中,n=1,2,3,…。例如取n=2、4、5时,T1=40ms、80ms、100ms,能有效地抑制50Hz干扰。这是因为积分过程有取平均的作用,只要干扰电压的平均值为零,就不影响积分器输出。但n值也不宜过大,以免测量速率太低。

图3 ICL7107外围电路图

(2)数字电路

数字电路如图4所示。主要包括8个单元:①时钟振荡器;②分频器;③计数器;④锁存器;⑤译码器;⑥异或门相位驱动器;⑦控制逻辑;⑧LCD显示器。时钟振荡器由ICL7106内部反相器F1、F2以及外部阻容元件R、C组成。若取R=120kΩ,C=100PF,则f0=40kHz。f0经过4分频后得到计数频率fCP=10kHz,即TCP=0.1ms。此时测量周期T=16000T0=4000TCP=0.4s,测量速率为2.5次/秒。f0还经过800分频,

图4 ICL7107的数字电路

得到50Hz方波电压,接LCD的背电极BP。LCD须采用交流驱动方式,当笔段电极a~g 与背电极BP呈等电位时不显示,当二者存在一定的相位差时,液晶才显示。因此,可将两个频率与幅度相同而相位相反的方波电压,分别加至某个笔段引出端与BP端之间,利用二者电位差来驱动该笔段显示。驱动电路采用异或门。其特点是当两个输入端的状态相异时(一个为高电平,另一个为低电平),输出为高电平;反之输出低电平。

(3)小数点驱动电路

为了显示小数点,需采用CD4030四异或门(或CD4077四异或非门),电路如图5

图5 小数点驱动电路

所示。S为小数点选择开关,DP1~DP3依次为个位、十位、百位的小数点驱动端,LCD 的背电极接BP。剩下一个异或门还可驱动标志符。

控制逻辑有三个作用:第一,识别积分器的工作状态,适时发出控制信号,使A/D 转

换正常进行;第二,判定输入电压极性并控制LCD 的负极性显示;第三,超量程时发出溢出信号使千位显示1,其余位消隐。

用计数器的输出信号ABC 控制小数点电路,若最高位到最低位小数点依次用Dp1、Dp2、Dp3 及Dp4 表示,则可写出其真值表,如表1(1 表示点亮)所示。

表1 小数点显示真值表

则逻辑表达式为 :

P P P 234

P 1=A B C ,=A B C ,=A B C ,=B C D D D D 4.3 ICL7107 安装电压表头时的一些要点:

按照测量=±199.9mV 来说明。

1). 辨认引脚:芯片的第一脚,是正放芯片,面对型号字符,然后,在芯片的左下方为第一脚。

也可以把芯片的缺口朝左放置,左下角也就是第一脚了。

许多厂家会在第一脚旁边打上一个小圆点作为标记。

知道了第一脚之后,按照反时针方向去走,依次是第 2 至第 40 引脚。(1 脚与 40 脚遥遥相对)。

2). 牢记关键点的电压:芯片第一脚是供电,正确电压是 DC5V 。第 36 脚是基准电压,正确数值是 100mV ,第 26 引脚是负电源引脚,正确电压数值是负的,在 -3V 至 -5V 都认为正常,但是不能是正电压,也不能是零电压。芯片第 31 引脚是信号输入引脚,可以输入 ±199.9mV 的电压。在一开始,可以把它接地,造成"0"信号输入,以方便测试。

3). 注意芯片27,28,29 引脚的元件数值,它们是0.22uF,47K,0.47uF 阻容网络,这三个元件属于芯片工作的积分网络,不能使用磁片电容。芯片的33 和34 脚接的104 电容也不能使用磁片电容。

4). 注意接地引脚:芯片的电源地是21 脚,模拟地是32 脚,信号地是30 脚,基准地是35 脚,通常使用情况下,这4 个引脚都接地,在一些有特殊要求的应用中(例如测量电阻或者比例测量),30 脚或35 脚就可能不接地而是按照需要接到其他电压上。--本文不讨论特殊要求应用。

5). 负电压产生电路:负电压电源可以从电路外部直接使用7905 等芯片来提供,但是这要求供电需要正负电源,通常采用简单方法,利用一个+5V 供电就可以解决问题。比较常用的方法是利用ICL7660 或者NE555 等电路来得到,这样需要增加硬件成本。我们常用一只NPN 三极管,两只电阻,一个电感来进行信号放大,把芯片38 脚的振荡信号串接一个20K -56K 的电阻连接到三极管"B"极,在三极管"C"极串接一个电阻(为了保护)和一个电感(提高交流放大倍数),在正常工作时,三极管的"C"极电压为2.4V -2.8V 为最好。这样,在三极管的"C"极有放大的交流信号,把这个信号通过2 只4u7 电容和2 支1N4148 二极管,构成倍压整流电路,可以得到负电压供给ICL7107 的26 脚使用。这个电压,最好是在-3.2V 到-4.2V 之间。

6). 如果上面的所有连接和电压数值都是正常的,也没有"短路"或者"开路"故障,那么,电路就应该可以正常工作了。利用一个电位器和指针万用表的电阻X1 档,我们可以分别调整出50mV,100mV,190 mV 三种电压来,把它们依次输入到ICL7107 的第31 脚,数码管应该对应分别显示50.0,100.0,190.0 的数值,允许有2 -3 个字的误差。如果差别太大,可以微调一下36 脚的电压。

7). 比例读数:把31 脚与36 脚短路,就是把基准电压作为信号输入到芯片的信号端,这时候,数码管显示的数值最好是100.0 ,通常在99.7 -100.3 之间,越接近100.0 越好。这个测试是看看芯片的比例读数转换情况,与基准电压具体是多少mV 无关,也无法在外部进行调整这个读数。如果差的太多,就需要更换芯片了。

8). ICL7107 也经常使用在±1.999V 量程,这时候,芯片27,28,29 引脚的元件数值,更换为0.22uF,470K,0.047uF 阻容网络,并且把36 脚基准调整到1.000V 就可以使用在±1.999V 量程了。

9). 这种数字电压表头,被广泛应用在许多测量场合,它是进行模拟-数字转换的最基本,最简单而又最低价位的一个方法,是作为数字化测量的一种最基本的技能。

4.4关于多量程电路部分

也有许多场合,希望数字电压表(数字面板表)的量程大一些,那么,只需要更改 2 只元器件的数值,就可以实现量程为±2.000V 了。更改的元器件具体位置和数值见图6的28 和29 两只引脚:

图6

在有了一只数字电压表(数字面板表)之后,按照下面的图示,给它配置一组分流电阻,就可以实现多量程数字电流表,分档从±200uA 到±20A 。但是要注意:在使用20A 大电流档的时候,不能再有开关来切换量程,应该专门配置一只测量插孔,以防烧毁切换开关。

图7

与多量程电流表对应的是经常需要使用多量程电压表,按照下图配置一组分压电阻,就可以得到量程从±200.0mV 至±1000V 的多量程电压表。

图8

测量电阻与测量电流或者电压一样重要,俗称“三用表”,利用数字电压表做成的多量程电阻表,采用的是“比例法”测量,因此,它比起指针万用表的电阻测量来具有非常准确的精度,而且耗电很小,下图示中所配置的一组电阻就叫“基准电阻”,就是通过切换各个接点得到不同的基准电阻值,再由 Vref 电压与被测电阻上得到的 Vin 电压进行“比例读数”,当 Vref = Vin 时,显示就是 Vin/Vref*1000=1000 ,按照需要点亮屏幕上的小数点,就可以直接读出被测电阻的阻值来了。

在产品数字万用表中,为了节省成本和简化电路,测量电流的分流电阻和测量电压的分压电阻以及测量电阻的基准电阻往往就是同一组电阻。这里不讨论数字万用表的电路,仅仅是帮助读者在单独需要使用某种功能时,可以有一定的参考作用。下图是一个最简单的 10 倍放大电路,运算放大器使用的是精度比较高的 OP07 ,利用它,可以把 0~200mV 的电压放大到 0~2.000V。在使用的数字电压表量程为 2.000V 时,(例如 ICL7135 组成的 41/2 数字电压表,基本量程就是 2.000V。)特别有用。

如果把它应用在基本量程为±200.0mV 的数字电压表上,就相当于把分辨力提高了 10 倍,在一些测量领域中,传感器的信号往往觉得太小了,这时,可以考虑在数字电压表前面加上这种放大器来提高分辨力。

图9

五.电路设计与仿真

本设计采用集成芯片ICL7107作为数字电压表的A/D转换及锁存和译码模块,使得电路具有设计简单、集成度及可靠性高的特点。该系统能够实现0~199.9mV量程电压值的测量。电路连接图与仿真图如图(图9和图10)所示。

图10 ICL7107的电路连接图

图11 仿真图

六. 系统调试及结果分析

6.1 调试仪器

可调直流电源,可调范围:0~200mV;万用表,精度:0.1mV。

6.2 调试方法

1.电压测量调试:用该表测量一电压,再用万用表测量,分别记录电压值。

2.用电位器调试:首先用整数的电压测量,观察是否能正常测量;然后调节电源电压到小数量程的电压值进行测量,观察是否能正常测量。

6.3 测试结果分析

1.电压测量:由测量可知该表测量电压较准确,与万用表有一定的差异应是分压电阻和模拟开关的导通电阻引起的。

2.自动切换量程测试:由测量可知自动切换量程功能能够实现。

6.4 硬件实物图

图12 实物图

七.元器件清单

ICL7107芯片一个; 5个非极性电容; 4个电阻和1个电位器;

八.设计心得体会

在这次课程设计当中我真正体会到什么叫做学以致用,第一次体会到用自己所学到的知识做出了个数据电压表,在以前想都不赶想,而现在自己竟然亲手做出来,所以此时的我心中不免有些成就感。

虽然这次课程设计理论与实践基本完成,但在实践的过程当中存在着许多的问题。比如说当测量时得不到理论上的要求, 电路焊接杂乱等。所以在以后的实验当中,我们在实验之前第一件事应该是检查原器件,而不是动手连线。连线固然重要但我个人认为比这个更重要的是在连线之前应该做的事。

21世纪是电子技术飞速发展的时期,而数字电子技术又是一门发展速度,实践性和应用性很强的技术基础课程。从这本书中,我们不仅学到了如何分析设计一个电路,使我们这些以前看见过它们,却不知如何去做的人大开眼界。看来,我们学习了这门课程以后,也可以根据它的基本原理来做出它的电路图,也可以实现它们的功能,得出我们想要设计的电路图。我觉得选择这门课程是我永远不后悔的事。因为它可以让我们更加深刻的证实自己的能力,证实自己是不是对这门课很认真,很努力。我觉得为我们所喜欢的事情去努力,是值得高兴的事,我们会继续的努力学习好这门课的。希望以后能有更多这样的机会,锻炼我的动手能力,使所学的知识能活学活用。

九.参考文献

[1].《PROTEL电路设计教程》,江思敏、姚鹏翼、胡荣等编著,清华大学出版社2003

[2].《常用电子测量仪器的使用》,[英]A .M.L鲁特金著,谢瑞和、黄志良、谢白美、王观兰译电子工业出版社1999

[3].《数字电路与逻辑设计》,刘浩斌(主编)汪良能、刘鑫、刘炜(编著),电子工业出版社2001

[4].《数字万用表的原理、使用与维修》,沙占友、沙占为(编著)电子工业出版社1988

单片机课程设计 ——电压表的设计 学院:信息工程学院 专业:电子信息科学与技术 班级:2011150 学号:201115002 姓名:王冬冬 同组同学:凡俊兴 201115001

目录 1 引言 (1) 2设计原理及要求 (2) 2.1数字电压表的实现原理 (2) 2.2数字电压表的设计要求 (2) 3软件仿真电路设计 (2) 3.1设计思路 (2) 3.2仿真电路图 (3) 3.3设计过程 (3) 3.4 AT89C51的功能介绍 (4) 3.4.1简单概述 (4) 3.4.2主要功能特性 (5) 3.4.3 AT89C51的引脚介绍 (5) 3.5 ADC0809的引脚及功能介绍 (7) 3.5.1芯片概述 (7) 3.5.2 引脚简介 (8) 3.5.3 ADC0809的转换原理 (8) 3.6 74LS373芯片的引脚及功能 (8) 3.6.1芯片概述 (8) 3.6.2引脚介绍 (9) 3.7 LED数码管的控制显示 (9) 3.7.1 LED数码管的模型 (9)

LED数码管模型如图3-6所示。 (9) 3.7.2 LED数码管的接口简介 (9) 4系统软件程序的设计 (9) 4.1 主程序 (10) 4.2 A/D转换子程序 (11) 4.3 中断显示程序 (12) 5使用说明与调试结果 (13) 6总结 (13) 参考文献 (14) 附录1 源程序 (15) 附录2原理电路 (19)

1 引言 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表。由于数字式仪器具有读数准确方便、精度高、误差小、测量速度快等特而得到广泛应用[1]。 传统的指针式刻度电压表功能单一,进度低,容易引起视差和视觉疲劳,因而不能满足数字化时代的需要。采用单片机的数字电压表,将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,从而精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC实时通信。数字电压表是诸多数字化仪表的核心与基础[2]。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表。目前,由各种单片机和A/D转换器构成的数字电压表作全面深入的了解是很有必要的。 最近的几十年来,随着半导体技术、集成电路(IC)和微处理器技术的发展,数字电路和数字化测量技术也有了巨大的进步,从而促使了数字电压表的快速发展,并不断出现新的类型[4]。数字电压表从1952年问世以来,经历了不断改进的过程,从最早采用继电器、电子管和形式发展到了现在的全固态化、集成化(IC 化),另一方面,精度也从0.01%-0.005%。 目前,数字电压表的内部核心部件是A/D转换器,转换的精度很大程度上影响着数字电压表的准确度,因而,以后数字电压表的发展就着眼在高精度和低成本这两个方面[3]。 本文是以简易数字直流电压表的设计为研究内容,本系统主要包括三大模块:转换模块、数据处理模块及显示模块。其中,A/D转换采用ADC0808对输入的模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算处理,最后驱动输出装置LED显示数字电压信号

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.3 本次设计要求 本次设计的作品要求制作数字电压表的量程为0到10v,由于用到的模数转换芯片是ADC0809,设计系统给的供电电压为+5v,所以能够测量的电压围为-0.25v到5.25v之间,但是一般测量的直流电压围都在这之上,所以采用电阻分压网络,设计的电压测量围是0到25v之间,满足设计要求的最大量程5v的要求。同时设计的精度为小数点后三位,满足要求的两位小数的精度,在不考虑AD芯片的量化误差的前提下,此次设计的精度能够满足一般测量的要求。

2单片机和AD相关知识 2.1 51单片机相关知识 51单片机是对目前所有兼容intel 8031指令系统的单片机的统称。该系列单片机的始祖是intel的8031单片机,后来随着技术的发展,成为目前广泛应用的8为单片机之一。单片机是在一块芯片集成了CPU、RAM、ROM、定时器/计数器和多功能I/O口等计算机所需要的基本功能部件的大规模集成电路,又称为MCU。51系列单片机包含以下几个部件: 一个8位CPU;一个片振荡器及时钟电路; 4KB的ROM程序存储器; 一个128B的RAM数据存储器; 寻址64KB外部数据存储器和64KB外部程序存储空间的控制电路; 32条可编程的I/O口线; 两个16位定时/计数器; 一个可编程全双工串行口; 5个中断源、两个优先级嵌套中断结构。51系列单片机如下图: 图1 51单片机引脚图

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。

学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容: 按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期: 单片机硬件实习任务书

DIY数字显示直流电压表 最近想做一个电源,因为经常DIY,没有一个电源不像样子,虽然是业余的,但是电压有时也会有不同的电压值,如做成固定的电压应用起来就不方便,如做成可调的,电源值就不能直观的展示出来,每调一次就用万用表量一起也不方便。如果有一个电压表装在电源上就方便多了,指针式的表头读起数来总是有点别扭,所以就想找一个数字式的电压表头。因此在这样的背景下自己通过DIY 制作了一个4位数字显示的电压表头。 做数字式电压表用什么IC好呢?选来选去最后决定用ICL7017吧!定好芯片就开要画个完整的电路图。既然要做就做好点,不想用洞洞板来接线路板,电线飞来飞去的有点头痛的感觉,所以还要画一块PCB板。电路图及PCB板的设计如下图示:

有了图就要准备物料了,不想一个一个的写出来,给个物料清单吧如下 组件编号 组件数值组件规格用量 号 C1 0.1uF 瓷片电容±20% 50V 1 C2 100P 瓷片电容±5% 50V 1 C3 0.1uF 金属膜电容±5% 63V 1 C4 0.1uF 独石电容±5% 63V 1 C6 0.22uF 金属膜电容±5% 63V 1 C5 0.47uF 金属膜电容±5% 63V 1 C7,C8 10uF/25V 电解电容+80-20% 2 R1 150Ω金属膜电阻±1% 1/4W 1 R8 1K 金属膜电阻±1% 1/4W 1 R9 1M 1/2W 金属膜电阻±1% 1/2W 1 R7 1M 金属膜电阻±1% 1/4W 1 R3 2.95K 金属膜电阻±1% 1/4W 1 R2,R5 10K 金属膜电阻±1% 1/4W 2 R4 20K 金属膜电阻±1% 1/4W 1 R6 154K 金属膜电阻±1% 1/4W 1 R10 470K 金属膜电阻±1% 1/4W 1 VR2 5K 精密微调电阻922C0 W 502 1 D2,D3 4148 ST 1N4148 DO-35 2 J1,J2 DC5V 鱼骨针2pin 2 D1 DIODE 1N4004 DO-41 1 DS1~4 HS-5161BS2 共阳8段数码管 4 U1 ICL7107 IC ICL7107CPLZ DIP-40 1 U2 TC4069 IC TC4069UBP DIP-14 1 U3 TL431 IC TL431A TO-92 1 IC插座14 pin 2.54mm 1 IC插座40 pin 2.54mm 1 PCB光板36x68x1.6mm 双面FR-4 1 塑料外壳尺寸要与PCB板配合,网上购的 1 镙丝 4 锡线适量 工具就是电子爱好者的常用工具了

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

基于单片机的数字电压表 摘要:本文介绍一种基于89S52单片机的一种电压测量电路,该电路采用ICL7135高精度、双积分A/D转换电路,测量范围直流0-±2000伏,使用LCD液晶模块显示,可以与PC机进行串行通信。正文着重给出了软硬件系统的各部分电路,介绍了双积分电路的原理,89S52的特点,ICL7135的功能和应用,LCD1601的功能和应用。该电路设计新颖、功能强大、可扩展性强。 关键词:电压测量,ICL7135,双积分A/D转换器,1601液晶模块 Abstract: The introduction of a cost-based 89S52 MCU a voltage measurement circuits, the circuits used ICL7135 high-precision, dual-scoring A/D conversion circuits, measuring scope DC 0-2000 volts, the use of LCD that can be carried out with a PC serial communications. The paper focuses on providing a software and hardware system components circuit, introduced double integral circuit theory, 89S52 features ICL7135 functions and applications, LCD1601 functions and applications. the circuit design innovative, powerful, can be expansionary strong. Key Words: Digital Voltmeter ICL7135 LCD1601 89S52 1前言 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本章重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。

电子技术课程设计报告 专业班级: 学生学号: 学生姓名: 指导教师: 设计时间: 自动化与电气工程学院

设计课题题目: 多量程直流数字电压表 一、设计任务与要求 1.设计并制作一个直流稳压电源,设计要求为 (1) 输入电压为220V (2) 输出电压为±5V 2.设计一个2 13 直流数字电压表,设计要求为 分辨率 (1) 测量量程:基本量程:200mV 0.1mV 扩展量程:2V 1mV 20mV 0.01mV (2) 测量范围: 0mV~2V (3 ) 显示范围:十进制数0~1999 (4) 使用双积分A/D 转换器ICL7107完成直流电压的数字化转换 二、电路原理分析与方案设计 1. 设计要求分析 数字电压表由电阻网络(量程调整)、直流放大(运放组成)、电压极性判断、A/D 转换、数码(液晶)显示等部分组成。 直流数字电压表主要完成对电位器或外部电压的测量与显示。因此,为了适应不同大小的的待测模拟电压信号,应该有测量量程的选择功能。ICL7107是双积分式三位半A/D 转换器,可构成基本量程200Mv,而扩展量程20V 可由电阻电位器分压,2V 量程可由运放放大。 2. 方案设计 (1)±5V 直流稳压电源 首先通过中心抽头的18V 电源变压器,输出电压经过四个二极管组成的桥式整流电路整流后通过电容滤波,然后通过三端稳压管LM7805和KV7905分别对正负电压进行稳压,在对输出电压进行滤波,从而得到较为稳定的±5V 直流稳压电源。 (2)2 13 直流数字电压表 将输入电压分别通过电阻电位器和μA741运放放大器进行缩小和放大,将输出信号输入到ICL7107 A/D 转换器V-IN 端,经过A/D 转换电路、参考电压电路、复位电路、时钟电路等电路完成数据转换及传输,最后通过2 13 数码管进行显示。 三、单元电路分析与设计 1.单元电路原理分析 电源: (1) 电源变压器

引言 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本论文重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。

1 实训要求 (1)基本要求: ①实现8路直流电压检测 ②测量电压范围0-5V ③显示指定电压通道和电压值 ④用按键切换显示通道 (2)发挥要求 ①测量电压范围为0-25V ②循环显示8路电压 2 实训目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法; (3)通过这次实训设计,掌握以单片机为核心的电路设计的基本方法和技术;(4)通过实际程序设计和调试,逐步掌握模块化程序设计的方法和调试技术。 3 实训意义 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 4 总体实训方案 测量一个0——5V的直流电压,通过输入电路把信号送给AD0809,转换为数字信号再送至89s52单片机,通过其P1口经数码管显示出测量值。 4.1 结构框图 如图1—1所示 图1—1

《单片机技术及其应用》 课程设计报告 题目:数字电压表的设计 班级:11通信本2班 学号:1011028432 姓名:段苓苓 同组人员:钟梦为梅韶田赵赫宇周洋 指导教师:刘少敏薛莲 2014年06月26日

目录 1 引言 (1) 1.1 设计意义 (1) 1.2 系统功能要求 (1) 2 设计内容 (1) 2.1 设计思路 (1) 2.2 主要功能 (2) 3 方案论证 (2) 3.1 程序设计 (2) 3.2 电路设计原理 (3) 3.3 软件设计方案 (4) 3.4 硬件设计方案 (4) 4 单元电路设计 (5) 4.1 数码管显示器 (5) 4.2 单片机的晶振电路 (6) 4.3 显示模块 (7) 4.4 ADC0808模数转换芯片 (7) 4.5 复位电路 (8)

4.6 AT89C52单片机的引脚介绍 (9) 4.7 模拟输入电路 (10) 4.8 总电路设计 (10) 5 系统软件程序的设计 (11) 5.1 主程序 (11) 5.2 A/D转换子程序 (11) 5.3 显示子程序 (11) 6 调试及性能分析 (11) 6.1 调试方法及步骤 (11) 6.2 实物调试数据 (12) 6.3 误差分析 (13) 7 心得体会 (14) 8 指导老师意见 (15) 附录: (16)

数字电压表的设计 1 引言 1.1 设计意义 我们学习的是单片机这门课程,这门课程最显著的特点就是它是一门实用技术课程,它要求我们不仅仅要掌握扎实的理论基础,更重要的是要学会如何去真真利用它为我们的电路设计服务,也只有通过课程设计这样的动手实践才是我们掌握这门技术的最佳途径,因此,我们开设这样的实践是很重要的,也是我们努力去学习钻研的动力。 数字电压表是采用数字化检测技术,把连续的模拟量(直流输入电压)换成不连续的、离散的数字形式并加以现实的仪表,克服了传统模拟电压表的读书不方便和不精确等问题。不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强集成方便,还可以与PC进行实时通信。目前,由各种单片A/D转换器构成的数字电压表,已广泛应用于电子电工测量、工业自动化仪表、自动测试系统等智能化测量领域,展现了强大的生命力。与此同时,由数字电压表扩展而成的各种通用及专用数字化仪器,也把电量及非电量测量技术提高到了崭新的水平,因此,通过这次课程设计能让我们了解这些知识,为以后研究相关技术打下坚实的基础。 1.2 系统功能要求 采用51系列单片机和ADC设计一个数字电压表,测量0~5V范围内的8路输入电压值,并在4位LED数码管上轮流显示或单路选择显示,要求显示两位小数。 2 设计内容 2.1 设计思路 (1)根据设计要求,选择AT89C51单片机为核心控制器件。 (2)A/D转换采用ADC0808实现,与单片机的接口为P1口和P2口的高四位引脚。 (3)电压显示采用4位一体的LED数码管。 (4)LED数码的段码输入,由并行端口P0产生:位码输入,用并行端口P2低四位产生。

基于单片机的数字电压表制作——ADC0832模数转换应用程序(C语言) 主要部件:AT89S51 ADC0832 八段数码管 关键字:ADC0832程序C语言数字电压表 本文所描述的数字电压表是利用ADC0832模数转换芯片完成的。该芯片能将0~5V的模拟电压量转换为0~255级的数字量,所以本文描述的数字电压表的量程为0~5V。 以下是程序部分: 该程序是本人自编的,经测试可用,但不保证程序的可靠性及稳定性。若有转载请标明出处。 如果有同学将本程序烧写到单片机里却不能正常工作的,请注意以下三点: 1、是否将端口重新定义。每个单片机开发板的引脚连接都是不一样的,若不加修改直接把程序烧写到单片机里,那是绝对不能正常工作的。 2、是否正确选择通道值。ADC0832有两个模拟输入端口(也就是我说的通道),你要先弄清楚你用的是那个通道,并在main函数中设置相应的通道值(以CH命名的那个变量)。本程序默认使用0通道,如果0通道不行就改成1通道,反正不是0通道就是1通道。 3、如果你做的电压表在保证电路连接正确且没有以上两点问题的情况下,还是不能正常工作,请将程

序中的“if (adval == test)”这一行删掉。其实这一点我个人也不清楚到底有没有问题。我有两个单片机开发板,其中一个必须要把那一行删掉才能工作。这说明ADC0832读出的前8位与后8位数值不一样(确切的说应该是后8位反转的数值),这有悖于ADC0832的原理。我不知道到底是硬件还是软件出了问题,特此把这种现象标明。若有哪位同学知道其原因的还请多多指教。 /***********************************************************************************/ /*简易数字电压表制作——ADC0832模数转换应用程序(C语言版)*/ /*目标器件:AT89S51 */ /*晶 振:12.000MHZ */ /*编译环境:Keil uVision2 V2.12 */ /***********************************************************************************/ /*********************************包含头文件********************************/ #include #include /*********************************端口定义**********************************/ sbit CS = P3^5; sbit Clk = P3^4; sbit DATI = P3^3; sbit DATO = P3^3; /*******************************定义全局变量********************************/ unsigned char dat = 0x00; //AD值 unsigned char count = 0x00; //定时器计数 unsigned char CH; //通道变量 unsigned char dis[] = {0x00, 0x00, 0x00}; //显示数值 /*******************************共阳LED段码表*******************************/

毕业设计 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计指导教师:杨喜录 电子信息工程系印制 二○一二年九月

宝鸡职业技术学院毕业设计任务书 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计 指导教师:杨喜录 电子信息工程系印制 二○一二年九月

引言 数字电压表是采用数字化电路测量的电压仪表。它以其高准确度、高可靠性、高分辨率、高性价比、读数清晰方便、测量速度快、输入阻抗高等优良特性而倍受人们的青睐。数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。传统的模拟式(即指针式)电压表已有100多年的发展史,虽然不断改进与完善,仍无法满足现代电子测量的需要,数字电压表自1952年问世以来,显示强大的生命力,现已成为在电子测量领域中应用最广泛的一种仪表。

数字电压表简称DVM (Digital Voltmeter ),它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。智能化数字电压表则是最大规模集成电路(LSI )、数显技术、计算机技术、自动测试技术(ATE )的结晶。一台典型的直流数字电压表主要由输入电路、A/D 转换器、控制逻辑电路、计数器(或寄存器)、显示器,以及电源电路等级部分组成。它的数字输出可由打印机记录,也可以送入计算机进行数据处理。 系统概述 数字电压表是将被测模拟量转换为数字量,并进行实时数字显示的数字系统。 该系统(如图1所示)可由MC14433--32 1位A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD 到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED 发光数码管组成。

1.设计主要内容及要求; 设计一个多功能数字电压表。 要求:1)硬件电路设计,包括原理图和PCB板图。 2)数字电压表软件设计。 3)要求能够测量并显示直流电压、交流电压,测量范围0.002V---2V。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

中文摘要 随着微型计算机及微电子技术在测试领域中的广泛应用,仪器仪表在测量原理、准确度、灵敏度、可靠性、多种功能及自动化水平等方面都发生了巨大的变化,逐步形成了完全突破传统概念的新一代仪器——智能仪器。智能化是现代仪器仪表的发展趋势,许多嵌入式系统、电子技术和现场总线领域的新技术被应用于智能仪器仪表的设计,尤其是嵌入式系统的许多新的理念极大地促进了智能仪器仪表技术的发展。 今年来,随着大规模集成电路的发展,有单片A/D转换器构成的数字电压表获得了迅速普及和广泛应用,它是目前在电子测量及维修工作中最常用、最得力的一种工具类数字仪表。数字电压表具有很高的性价比,其主要优点是准确度高、分辨力强测试功能完善、测量速率快、显示直观。 测试仪器的智能化已是现代仪器仪表发展的主流方向。因此学习智能仪器的工作原理、掌握新技术和设计方法无疑是十分重要的。 关键词智能,数字,电压表,仪器仪表

微控制器技术创新设计实验报告 姓名:学号:班级: 一、项目背景 使用单片机AT89C52和ADC0808设计一个数字电压表,能够测量0-5V之间的直流电压值,四位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为5V;显示精度0.001伏。 二、项目整体方案设计 ADC0808 是含8 位A/D 转换器、8 路多路开关,以及与微型计算机兼容的控制逻辑的CMOS组件,其转换方法为逐次逼近型。ADC0808的精度为1/2LSB。在AD 转换器内部有一个高阻抗斩波稳定比较器,一个带模拟开关树组的256 电阻分压器,以及一个逐次通近型寄存器。8 路的模拟开关的通断由地址锁存器和译码器控制,可以在8 个通道中任意访问一个单边的模拟信号。

三、硬件设计 四、软件设计 #include #include"intrins.h" #define uchar unsigned char #define uint unsigned int sbit OE = P2^7; sbit EOC=P2^6; sbit START=P2^5;

sbit CLK=P2^4; sbit CS0=P2^0; sbit CS1=P2^1; sbit CS2=P2^2; sbit CS3=P2^3; uint adval,volt; uchar tab[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8, 0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E}; void delayms(uint ms) { uchar j; while(ms --) { for(j=0;j<120;j++); } } void ADC_read() { START=0; START=1; START=0; while(EOC==0);

基于51单片机数字电压表的设计 基于51单片机数字电压表的设计 摘要:本文介绍了基于STC89C52单片机为核心的,以AD0809数模转换芯片作为采样,以四位八段数码管作为显示的具有测量功能的具有一定精度的数字电压表。在实现基础功能的情况下,另外还可以扩展串行口通信,时钟,等其他一系列功能,使系统达到了良好的设计效果和要求。本课题主要解决A/D转换,数据处理及显示控制等三个模块。 关键词:STC89C52;数字电压表;模数转换;数字信号

Abstract:This paper introduces STC89C52 SCM as the core based on AD0809 analog-to-digital conversion chip, as sampled to four seven segment digital tube as display with certain with measuring function of digital voltmeter accuracy. The basic function in realizing circumstance, also can expand serial port communication, clock, and other series of function, make the system to achieve a good design effect and requirements.This subject mainly to solve AD, data processing and display control three modules. Key words: Digital voltmeter; Frequency-field; Digital signal 本设计在分析研究和总结了单片机技术的发展历史及趋势的基础上,以使用可靠,经济,精度高等设计原则为目标,设计出基于单片机的数字测量电压表。单片机有着微处理所具备的功能,它可单独地完成现代工业控制所要求的智能化控制功能,这是单片机最大的特征。 单片机控制系统能够取代以前利用复杂电子线路或数字电路构成的控制系统,可用软件控制来实现,并能够实现智能化。由于单片机具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此,现在单片机控制范畴无所不在,例如通信产品,家用电器,智能化仪器仪表,过程控制和专用控制装置等等,单片机的应用领域越来越广泛。 1 系统构成 该电压表的测量电路主要由三个模块组成:A/D转换模块、数据处理模块及显示控制模块。A/D转换主要由芯片ADC0809来完成,它负责把采集到的模拟量转换为相应的数字量再传送到数据处理模块。数据处理则由芯片STC89C51来完成,其负责把ADC0809传送来的数字量,经一定的数据处理,产生相应的显示码送到显示模块进行显示;另外它还控制着ADC0809芯片的工作。显示模块主要由7段数码管显示测量到的电压值 系统构成框图 2 系统硬件设计 2.1 电源电路原理 由于本系统的主控芯片是单片机,所以应提供五伏的恒流源作为单片机的基准电压。主要原理是用变压器将220V交流电压进行变压,然后经过电桥整流,将交流电变为直流电源,经过稳压管稳压,得到稳定的5V电源供单片机使用。 电桥由整流二极管1N4007所搭建的电

积分式直流数字电压表 摘要:51系列单片机具有两个以上16通道定时器(TIME0和TIME1),每个通道可选择为输入捕获、输出捕获和PWM方式来测量脉宽,8路8位A/D转换器。当需大于8位的A/D转换时,可以用片内16位的定时器外接运放、比较器和多路开关实现双积分A/D转换。TL082是JFETINPUT运放;LM358作为比较器;MC4066是多路开关。51单片机P1口的P10、P11、P12作为输出,控制MC4066多路开关的输入选择;INT0作为中断输入口,捕捉LM358比较器的输出电平跳变。 关键字:双积分A/D,输出比较,输入捕捉,分辨率

一、系统方案论证与比较 为了完成上面的设计要求,将整个积分式直流数字万用表的设计分为四部分:积分、过零比较部分,控制部分,显示部分和供电部分。原理图如图1.1所示。 图G-1-1 1、单片机的选择 方案一:采用ATMEL公司生产的8位单片机AT89C51作为双积分A/D转换器的核心,此次单片机价格相对便宜,容易购买。此设计中控制功能比较多,因此需要用到的输入输出口比较多, AT89C51足可以满足控制要求,且选用此单片机不需外接扩展电路,因此节省了资源,降低了成本;并且可以达到很高的精度和实现此次设计的各种要求。 方案二:采用MOTOROLA公司生产的8位单片机MC68HC908GP32作为双积分A/D 转换器的核心,该单片机只具有两个输入输出口,虽然也能满足以上各种要求,但需要外接扩展电路,这不但在使用上增加了难度而且也增加了设计成本,浪费了资源。使电路边的比较复杂,在实际调试中也增加了难度。 鉴于以上分析,拟选择方案一。 2、积分器、过零比较器电路 方案一:该方案的系统框图如图1.2所示。运放为LM311、比较器为LM339、多路开关为MC14052。MC68HC908GP32单片机的PTD5、PTD4作为输出控制MC14052多路开关的输入选择。PTD7作为输入口,捕捉LM339比较器的输出跳变。C为积分电容,常取0.1μF左右的聚丙烯电容,R为积分电阻,可取100K左右,Vi为输入电压,-E为负的基准电压。此电路只对输入信号进行了一次信号放大,也就是只进行了一次积分。此电路,积分波形不明显,不容易在示波器上调试出来。 方案二:该方案的系统原理图如图1.3所示。C1为积分电容,常取0.22μF 左右的聚丙烯电容,R2为积分电阻,可取500k左右,U2A为积分运放,U2A、C1、R2构成了积分器,U2B是过零检测运放。VIN为输入电压,VREF为基准电压,AGND 为转换器的参考零点。VREF和参考零点以R9、R10、R11分压产生。TL082是JFETINPUT运放;LM358作为比较器;MC4066是多路开关。此电路有自己单独的基准电压,并且它的基准电压根据测量的不同范围的电压,可以进行调节,因此更

毕业设计(论文) 题目:数字电压表的设计与制作年级专业:电气自动化14321班 学生姓名:秦小钧 指导教师:杨海蓉

2016年 10 月 13 日 毕业设计任务书 毕业设计题目:数字电压表的设计与制作 题目类型工程设计题目来源学生自选题 毕业设计时间从 2016/09/25 至 2016/10/13 1.毕业设计内容要求: 采用AT89S52作MCU,ADC0809(或其他芯片)进行AD转换,测量电压的范围为直流0-5V 电压,四位数码管显示。 2.主要参考资料 [1]万福君,潘松峰.单片微机原理系统设计与应用[M],中国科学技术大学出版社,01年8月第2版 [2]周责魁. 控制仪表与计算机控制装置[M] ,化学工业出版社,02年9月第1版 [3]李青. 电路与电子技术基础[L] ,浙江科学技术出版社,05年2月第1版 [4]陈乐. 过程控制与仪表[M], 中国计量学院出版社,07年3月 [5]孙育才. 新型AT89S52系列单片机及其应用[M] ,清华大学出版社,05年5月第1版3.毕业设计进度安排

摘要 本设计由A/D转换、数据处理及显示控制等组成,测量0~5V范围内的输入电压值,由4位共阳8段数码管扫描显示,最大分辨率0.1V,误差±0.05V。数字电压表的核心为AT89S52单片机和ADC0832 A/D转换集成芯片。 关键词:数字电压表;单片机;AT89S52; ADC0832

第一章设计方案的选择 1.1功能要求及设计目标 采用AT89S52作MCU,ADC0809(或其他芯片)进行AD转换,测量电压的范围为直流0-5V电压,四位数码管显示。(设计并制作出实物为优). 1.2 系统设计方案 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器 AT89C52可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和Flash 存储器结合在一起,特别是可反复擦写的 Flash存储器可有效地降低开发成本。 AT89S5与AT89c52相比,前者的性能比后者高,所以本设计采用AT89S52芯片。 数模转换芯片:

相关主题
文本预览
相关文档 最新文档