当前位置:文档之家› 数电实验一 组合逻辑电路分析与设计

数电实验一 组合逻辑电路分析与设计

数电实验一 组合逻辑电路分析与设计
数电实验一 组合逻辑电路分析与设计

实验一组合逻辑电路分析与设计

2016.10.5

目录目录

一、实验内容 (3)

1.实验目的 (3)

2.实验仪器及器件 (3)

二、分析设计及实验步骤 (4)

1.组合逻辑电路的分析 (4)

2.组合逻辑电路的设计 (6)

3.实验步骤 (6)

三、实验结果及讨论总结 (7)

1.实验结果 (7)

2.讨论及思考题 (8)

3.总结与心得 (9)

实验内容

一、实验内容

1.实验目的

(1)掌握组合逻辑电路的分析方法,并验证其逻辑功能。

(2)掌握组合逻辑电路的设计方法,并能用最少的逻辑门实现之。

(3)熟悉示波器与逻辑分析仪的使用。

2.实验仪器及器件

(1)数字电路实验箱、数字万用表、示波器

(2)虚拟器件:74LS00,74LS86,74LS197

74LS00

74LS86

74LS197

二、分析设计及实验步骤

1.组合逻辑电路的分析:对已给定的组合逻辑电路分析其逻辑功能(1)由给定的组合逻辑电路写函数式

(2)对函数式进行化简或变换

由以上卡诺图进行化简可得G3=Q3

G2=Q2⊕Q3

G1=Q1⊕Q2

G0=Q0⊕Q1

(3)根据最简式列真值表

(4)确认逻辑功能

根据已得逻辑表达式及真值表易知:G3与Q3相同,G2、G1、G0的逻辑功能相当于异或门(即当两输入相同时输出0,两输入不同时输出1)

2.组合逻辑电路的设计

(1)真值表及函数式可见以上1.组合逻辑电路的分析

(2)画出电路图

四个输出D1、D2、D3、D4分别相当于G3、G2、G1、G0

3.实验步骤

(1)用逻辑开关模拟二进制代码输入,并把输出接“0-1”显示器(即是实验箱右上角的LED 灯)检查电路,看电路是否正常工作。

(2)用集成异步下降沿触发的异步计数器74LS197构成十六进制计数器作为代码转换电路的输入信号源。74LS197的CP0接连续脉冲作为时钟输入,Q0与CP1连接,将MR、PL接

HIGH,则Q3、Q2、Q1和Q0就是十六进制计数器的输出。将Q3、Q2、Q1和Q0接LED灯,CP0接手动单步脉冲。十六进制计数器工作正常后,将Q3、Q2、Q1和Q0连接到代码转换的输入端,作为8421码输入。

注意:在把197的输出接入代码转换输入之前,要先断开原来作为8421码输入的逻辑开关。检查电路是否正常工作。

(3)用10KHz的方波作为计数器的脉冲,用示波器观察并记录CP、Q3、Q2、Q1、Q0和

G3、G2、G1、G0的波形。

注意:电压波形图之间的相位关系。

三、实验结果及讨论总结

1.实验结果

测试得到的波形如下:

注:各通道代表的波形如下

D2——CP0

D6——CP1 & Q0 (因为将CP1和Q0连接后只连了一条线接到示波器)D5——Q1

D3——Q2

D7——Q3

D9——G0

D12——G1

D13——G2

D10——G3

2.讨论及思考题

(1) 通过对比各个波形与真值表的关系,可以发现对应基本一致,所以实验结果基本符

合预期。

(2) 从上面的波形图中可以看出个别波形(如D2和D9)出现了毛刺,且都是在上升沿

与下降沿之间,可能是因为时钟信号对其他信号产生了干扰而造成的。实验过程中不论如何调试毛刺始终存在,所以也有可能是仪器本身的原因。

(3) 示波器上的波形总是不稳定,可以通过调节触发、通道、频率、水平方向上波的疏

密来进行调整。

(4) 连接电路时,线多且杂乱,常常会出现连错线或忘记了对应的接口等情况,所以不

要从开始就把所有线一起连接,最好一个部分一个部分来连,避免混淆,同时下标相同的可以用同一个颜色的线进行连接。

思考题:组合电路的分析。多功能发生电路的逻辑表达为:Y=AB F 1B A F 2B A F 3B A F 4。F4F3F2F1取不同组合,则可得到以A 、B 为输入变量的各种逻辑函数,如下表所示。

Y1=Y2=Y3=Y4= Y7=· Y11=A

表(三)各函数真值表

3.总结与心得

组合逻辑电路分析方法与设计过程:

(1)列真值表

(2)根据真值表写出逻辑表达式,用卡诺图法或其他相关运算法则化简和变换

(3)根据最简式设计转换电路并进行调试

(4)用数电实验箱连接好电路且要先测试是否正常工作

(5)进行实验并分析总结

心得:

(1)本次实验学习了74LS00、74LS86、74LS197和示波器的工作原理及使用方法,以及如何分析、设计转换电路。

(2)因为是第一次做数电实验,不太了解具体应该怎样预习,听说比较简单,就只是把实验书上的内容以及要用到的器件看了几遍,做实验的时候才发现书上对于仪器具体操作的介绍是比较模糊的,所以接线的时候手忙脚乱,花了很多时间。示波器也是以前没有接触过的型号,所以也不太会用。以后的实验必须多花点时间和心思去预习和揣摩,上课的时候也要认真听老师的讲解。

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

组合逻辑电路实验设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 组合逻辑电路实验设计 血型匹配情况判断电路 一、实验题目: 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表 B、10代表AB、11代表O。) 图1 二、电路设计: 方案一: 解: 1、题目分析

根据题意,确定有4个输入变量,设为X、Y、M、N;输出变量为P。 其中,用两个逻辑变量X、Y的四中取值表示输血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 用另外两个逻辑变量M、N的四种取值表示受血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 逻辑输出变量P代表输血者与受血者的血型符合情况:1代表血型符合,0代表血型不符合。 题目中要求用八选一数据选择器(74LS151)及与非门(74LS00)实现电路设计。 2、列写输入与输出变量真值表: 真值表如下图所示 3、逻辑表达式: 根据真值表画出卡诺图:

卡诺图如右图所示: 用八选一数据选择器(74LS151),所以输出逻辑表达式写成最小项和的形式:设X 、Y 、M 为选择变量,X 为高位。 逻辑函数P 的与或标注型表达式: P (X ,Y ,M ,N ) X Y M N X Y M N X Y M N X Y M N X Y M N =+++++ 4、比较表达式: 与标准表达式比较得:267P Nm N m(0,1,3,5)m m =+∑++ 所以,数据选择器中EN=0,0135D D D D N ==== D 2=N ,D 4=0, D 6=D 7=1, 5、逻辑电路图:

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数电设计性实验报告

福州大学电气工程与自动化10级 设计性实验报告 姓名__________ 学号_______ 班级_______ 指导老师______姜海燕________ 实验时间_____2012.6.1______ 实验题目____彩灯控制器的设计_ (这是一页是首页)

实验目的: 1.掌握电路板焊接技术; 2.学习调试系统电路,提高实验技能; 3.了解彩灯控制器的工作原理及其结构。 实验所用原件清单: 74LS194 2片、74LS161 1片、74LS112 1片、555定时器、 电容1μF 1个、电阻300?8个、电阻500? 1个、电阻5k? 1个、发光二级管8个、导线、电路板 原理(包括主要公式、电路图): 1、设计任务:节目的彩灯五彩缤纷,彩灯的控制电路种类繁多。用移位寄存器 为核心元件设计制作一个8路彩灯控制器。 2、设计要求: ①彩灯控制电路要求控制8个彩灯; ②要求彩灯组成以下两种花型,每种花型连续循环两次,两种花型轮流交替。 节拍脉冲编码Q A Q B Q C Q D Q E Q F Q G Q H 花型Ⅰ花型Ⅱ 1 00000000 00000000 2 00011000 10001000 3 00111100 11001100 4 01111110 11101110 5 11111111 11111111 6 11100111 01110111 7 11000011 00110011 8 10000001 00010001 9 00000000 00000000 3、设计要点 ①编码发生器:编码发生器要求根据花型按节拍送出8位状态编码信号,以 控制彩灯按规律亮灭。因为彩灯路数少,花型要求不多,该题宜选用移位 寄存器输出8路数字信号控制彩灯发光。编码发生器建议采用两片4位通 用移位寄存器74194来实现。74194具有异步清零和同步置数、左移、右 移、保持等多种功能,控制方便灵活。移位寄存器的8个输出信号送至LED 发光二极管,编码器中数据输入端和控制端的接法由花型决定; 控制电路:控制电路为编码器提供所需的节拍脉冲和驱动信号,控制整个系统工作。控制电路的功能有两个:一是按所需产生节拍脉冲;二是产生移位寄存器所需的各种驱动信号。

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

组合逻辑电路实验与解答

湖北第二师范学院实验 组合逻辑电路 物机学院-11应用物理学 一、实验目的 1.掌握用与非门组成的简单电路,并测试其逻辑功能。 2.掌握用基本逻辑门设计组合电路的方法。 二、实验原理 数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。一般设计步骤如下: 1.首先根据实际情况确定输入变量、输出变量的个数,列出逻辑真值表。 2.根据真值表,一般采用卡诺图进行化简,得出逻辑表达式。 3.如果已对器件类型有所规定或限制,则应将函数表达式变换成与器件类型相适应 的形式。 4.根据化简或变换后的逻辑表达式,画出逻辑电路。 5.根据逻辑电路图,查找所用集成器件的管脚图,将管脚号标在电路图上,再接线 验证。 三、实验仪器及器件 数字实验箱一台,集成芯片74LS00一块、74LS20三块,导线若干。 四、实验内容 1.用非与门实现异或门的逻辑功能 (1) 用集成电路74LS00和74LS20(74LS20管脚见图1所示),按图2连接电路(自己设计接线脚标),A、B接输入逻辑,F接输出逻辑显示,检查无误,然后开启电源。 图1 74LS20集成电路管脚图 (2) 按表1的要求进行测量,将输出端F的逻辑状态填入表内.

表1 输出真值表 图 2-电路接线图 (3) 由逻辑真值表,写出该电路的逻辑表达式 F= B A B A ?+? 2. 用与非门组成“三路表决器” (1) 用74LS00和74LS20组成三路表决器,按图3连接电路(自己设计接线脚标),A ,B ,C 接输入逻辑,F 接输出逻辑显示,检查无误,然后开启电源。 (2) 按表2的要求进行测量,将输出端F 的逻辑状态填入表内。 A 表 2输出真值表 & B F C 图 3 电路接线图 3. 设计一个“四路表决器”逻辑电路并测试 设计一个四变量的多路表决器。当输入变量A 、B 、C 、D 有三个或三个以上为1时,输出F 为1;否则输出F 为0。 (1)根据设计要求列出表3四人表决器真值表。 (2)用卡诺图化简逻辑函数,写出逻辑 表达,F= D C B D C A D B A C B A ??+??+??+??. (3)用74LS20与非门实现“四人表决器”,画出实验电路,标出接线脚并测试,验证所列真值表。 输 入 输 出 A B F 0 0 0 0 1 1 1 0 1 1 1 0 输 入 输 出 A b CF 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & && & & & A B F

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

数电自主实验——多功能电子表的设计与实现

多功能电子表的设计与实现 ——基于Basys2开发板电路设计及仿真1.实验目的 1.了解有关FPGA的基本知识以及在电路设计的应用; 2.了解并学会利用Verilog HDL硬件开发语言设计特定功能的电路,加深对知识的理解;3.了解Basys2开发板的特点并利用其元件在硬件上实现电路功能; 4.在完成电路设计的过程中积累实际工程开发的经验; 5.培养对于新型实验器材的理解和学习能力; 6.在实验中练习并熟悉有关嵌入式系统开发的过程,为未来的学习打下基础。 2.总体设计方案或技术路线 1.查阅资料,了解Basys2工作相关特点,对于FPGA的开发过程有初步认识; 2.学习Verilog HDL硬件开发语言,阅读相关程序实例加深对于编程语言及模块的理解;3.确定本次试验电子表的功能,编写程序进行实现; 4.对于编写程序进行调试,修改编写过程中出现的语法错误; 5.再对上一步中调试好的程序进行仿真,编写仿真代码,分析输出并进一步修改程序;6.对于仿真好的程序建立ucf文件进行引脚约束及综合,生成bit文件; 7.将bit文件烧写到开发板中,在硬件中实现预定功能; 8.对整个实验过程进行总结,分析输出效果并寻找改进方法。 3.实验电路图 由于本实验的电路设计基本全部由Verilog HDL硬件编程语言完成(具体代码附于报告结尾处),因此,没有具体芯片电路图。 而在仿真软件中,提供了实验电路的RTL级原理图和技术原理图。因此我们可以利用ISE Design Suite 14.7电路设计和仿真软件自动生成实验电路的原理图,具体操作过程为,在编写好程序后,双击鼠标左键选择运行Synthesize - XST对电路进行综合,综合成功后,在其子目录下会有View RTL Schematic和View Technology Schematic两个选项,双击这两个选项即可查看该电路的RTL级原理图和技术原理图(如下图)。 由于电路的搭建主要由代码实现,因此软件提供的主要为电路的输入输出原理图,而非具体的电路图,但对于工程的建立与调试已经足够,也就不需要另画详细的电路图了 RTL级原理图:

实验一-组合逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:计算机结构与逻辑设计实验 第一次实验 实验名称:组合逻辑电路 院(系):专业: 姓名:学号: 实验室: 实验组别: 同组人员:实验时间:2015年10月29 日 评定成绩:审阅教师:

一、实验目的 ①认识数字集成电路,能识别各种类型的数字器件和封装 ②掌握小规模组合逻辑和逻辑函数的工程设计方法 ③掌握常用中规模组合逻辑器件的功能和使用方法 ④学习查找器件资料,通过器件手册了解器件 ⑤了解面包板的基本结构、掌握面包板连接电路的基本方法和要求 ⑥了解实验箱的基本结构,掌握实验箱电源、逻辑开关和LED点平指示的 用法 ⑦学习基本的数字电路的故障检查和排除方法 ⑧学Mulitisim逻辑化简操作和使用方法 ⑨学习ISE软件操作和使用方法 二、实验原理 1.组合逻辑电路: 组合逻辑电路又称为门网络,它由若干门电路级联(无反馈)而成,其特点是(忽略门电路的延时):电路某一时刻的输出仅由当时的输入变量取值的组合决定,而与过去的输入取值无关。 其一般手工设计的过程为: ①分析其逻辑功能 ②列出真值表 ③写出逻辑表达式,并进行化简 ④画出电路的逻辑图 2.使用的器件: 1)74HC00(四2输入与非门):芯片内部有四个二输入一输出的与非门。 2)74HC20(双4输入与非门):芯片内部有两个四输入一输出的与非门。注意,四输入不能有输入端悬空。 3)74HC04(六反相器):芯片内部有六个非门,可以将输入信号反相。当然,也可以通过2输入与非门来实现,方法是将其一个输入端信号加高电平。 4)74HC151(数据选择器):其功能犹如一个受编码控制的单刀多掷开关,可用在数据采集系统中,选择所需的信号。它有8个与门,各受信号A2、A1、A0的一组组合控制,再将这8个与门的输出端经一个或门输出,是一个与—或电路。 5)74HC138(3线-8线译码器):其有三个使能端E1、E2、E3,可将地址段(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。 三、实验内容 必做实验: ①数值判别电路 a)设计一个组合逻辑电路,它接收一位8421BCD码B3B2B1B0,仅当2 < B3B2B1B0 < 7时 输出Y才为1(第6周实验课内指导教师验收)

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

数电实验自主设计

数电实验自主设计—哈工大

Harbin Institute of Technology 数字电子技术实验 自主设计实验报告

姓名班级1404105 学号台号 实验日期节次教师签字成绩 实验名称:基于BASYS2 FPGA板的开发应用 1.实验目的 (1) 熟悉Verilog语言的使用 (2) 能通过ISE软件进行FPGA简单的开放应用 (3) 结合实际应用掌握课上所学关于组合电路和时序电路的理论知识 (4) 掌握根据实际需求设计相应较为优化的电路的能力 2. 实验环境 ISE14.7 BASYS2开发板 3.实验内容 总共设计3个实际应用电路,分别为两个较为简单的组合逻辑电路和一个较为复杂的时序逻辑电路。 下面分别介绍各个设计: (1)实验一:判断是否可以输血 设计要求:

已知人的血型由A、B、AB、O四种。输血时,输血者的血型与受血者血型必须符合图中用箭头指示的授受关系。要求该电路能够判断输血者与受血者的血型是否符合上述规定。具体要求如图1所示。 图 1输血要求 (2)实验二:显示译码电路 设计要求: 根据不同输入取值,依次显示“HIT+学号后5位(即HIT05108)”,共8个字型。 (3)实验三:数字钟 设计要求: 在数码管上显示分钟和秒的计时功能。最左边两个显示分钟,最右边两个显示秒钟。 4.各实验源代码及仿真结果 (1)实验一:判断是否可以输血 Verilog程序:

module shuxue( input a, input b, input ab, input o, input sa, input sb, input sab, input so, output reg w, output reg p ); //a,b,ab,o分别代 表输血者的四种 血型sa,sb,sab,so 分别代表受血者 的四种血型;w代 表W灯;p代表P 灯 reg w1,w2;

实验三 组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。

2.二四输入与非门74LS20 74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输 入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输 入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。 表 74LS138的功能表

《数字电路设计实训》实验指导书课件

数字电路设计实训实验指导书 编写人:许一男 审核人:金永镐 延边大学工学院 电子信息通信学科

目录 一、基础实验部分 实验一门电路逻辑功能及测试 (1) 实验二组合逻辑电路(半加器、全加器及逻辑运算) (5) 实验三R-S,D,JK触发器 (9) 实验四三态输出触发器,锁存器 (12) 实验五集成计数器及寄存器 (15) 实验六译码器和数据选择器 (18) 实验七555时基电路 (21) 二、选做实验部分 实验八时序电路测试机研究 (26) 实验九时序电路应用 (29) 实验十四路优先判决电路 (31) 三、创新系列(数字集成电路设计)实验部分 实验十一全加器的模块化程序设计与测试 (33) 实验十二串行进位加法器的模块化程序设计与测试 (35) 实验十三N选1选择器的模块化程序设计与测试 (36)

实验一门电路逻辑功能及测试 一、实验目的 1. 熟悉门电路逻辑功能 2. 熟悉数字电路学习机及示波器使用方法 二、实验仪器及材料 1. 双踪示波器 2. 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1. 复习门电路工作原理及相应逻辑表达式。 2. 熟悉所用集成电路的引线位置及引线用途。 3. 了解双踪示波器的使用方法。 实验前按学习机使用说明先检查学习机电源是否正 常,然后选择实验用的集成电路,按自己设计的实验 电路图接好连线,特别注意Vcc及接地线不能接错。 线接好后经实验指导教师检查无误方可通电实验。实 验中改动接线需先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能图1.1 (1)选用四输入与非门74LS20一只,插入面包板,按图1.1接线,输入端接S1~S4(电平开关输出端口),输出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测输出电压及逻辑状态。 表1.1

数电设计实验报告

数电设计实验报告 姓名: 班级: 专业: 学号:

目录 1 设计任务与要求-------------------------------------------------------------------------------1 1.1 基本功能--------------------------------------------------------------------------------------1 1.2 扩展功能--------------------------------------------------------------------------------------1 2 设计原理----------------------------------------------------------------------------------------1 3设计分析-----------------------------------------------------------------------------------------2 3.1 抢答电路--------------------------------------------------------------------------------------2 3.2 定时电路-------------------------------------------------------------------------------------- 3 3.3 报警电路------------------------------------------------------------------------- 4 3.4 时序控制电路------------------------------------------------------------------- 5 4设计结果---------------------------------------------------------------------------------------- 6 5制作结果----------------------------------------------------------------------------------------- 7 6学习心得----------------------------------------------------------------------------------------- 8 7参考文献-----------------------------------------------------------------------------------------9

相关主题
文本预览
相关文档 最新文档