当前位置:文档之家› 数字逻辑电路课程设计报告江苏大学

数字逻辑电路课程设计报告江苏大学

数字逻辑电路课程设计报告江苏大学
数字逻辑电路课程设计报告江苏大学

数字逻辑电路实验报告

姓名:

班级:

学号:

指导老师:耿霞

学校:江苏大学

目录

一、实验目的 (3)

二、设计要求 (3)

三、具体设计思路 (3)

1.24进制计数器的设计 (4)

2.60进制计数器的设计 (5)

3.二路选择器的设计 (6)

4.分频器的设计 (6)

5.动态扫描的涉及 (7)

6.整点报时功能的设计 (8)

7.选择显示与闹钟设置的设计 (9)

四、顶层图 (10)

五、各个模块 (11)

1.计时模块 (11)

2.整点报时与闹钟模块 (11)

六、设计总结 (12)

一:实验目的

1.学会应用数字系统方法进行电路设计;

2.进一步学会应用Quartus软件开发应用能力;

3.培养综合实验的能力。

二:设计要求

设计一个多功能数字时钟,具有以下几个功能:

(1)能进行正常的时、分、秒计时。

①使用一个二十四进制和两个六十进制的计数器级联。分计数器以秒计

数器的进位作为计数脉冲,小时计数器以分计时器的进位作为计数脉

冲。

②给秒1Hz 。

(2)可以使用以EP1C12F324C8为核心的硬件系统上的脉冲按键或者拨动开关实现“校时”,“校分”及清零功能。

(3)可以使用系统上的扬声器进行整点报时

①计时到59分50秒时,每两秒一次低音报时,整点进行高音报时。

②低音报时用512Hz,高音报时用1kHz。

(4)设置闹钟,并连接扬声器实现闹铃功能。

①设定闹钟时间与新的计数器进行存储,与正常计时互不干扰。

②与正常计时状态进行切换。

③设定一个比较模块,当计时与闹钟相等时,驱动扬声器鸣叫。

④闹钟响声控制在一分钟之内,可以在一分钟设置按键取消闹时状态(5)用动态数码管显示时间。

①用6个数码管,分别用一组独立的七段码进行驱动显示,将小时高位

到秒低位共6组时间经过7段译码,按照顺序锁定到数码管上。

②用动态扫描的方式显示。

③扫描频率越高越稳定。

三:具体设计思路

利用按键实现“校时”,“校分”及清零功能。

(1)SA:校时键。按下SA键时,时计数器迅速递增,按24小时循环,并且计满23时回到00.

(2)SB:校分键。按下SB键时,分计数器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。

(3)SC:秒清零。按下SC时,秒计数器清零。

(4)要求按键均不产生数字跳变,因此需要进行销抖处理。用D触发器。

实现:

1.24进制计数器的设计:

VHDL语言描述:

libraryieee;

use ieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

useieee.std_logic_arith.all;

entity cnt24 is

port(rst,clk:instd_logic;

co:outstd_logic;

qout:outstd_logic_vector(7 downto 0));

end cnt24;

architecture behave of cnt24 is

signalqh,ql:std_logic_vector(3 downto 0);

signaltco:std_logic;

begin

process(clk,rst)

begin

if (rst='0') then qh<="0000"; ql<="0000"; tco<='0';

//若rst为0时,十位qh以及个位ql都清零,且不进位elsif (clk'event and clk='1') then

if (ql<9 and (qh=0 or qh=1)) then

//当个位ql小于9,十位qh等于‘0’或‘1’时

ql<=ql+1;qh<=qh;//个位ql加1,qh不变

end if;

if(ql=9) then/ /当个位ql等于9时

ql<="0000";//个位ql清零

qh<=qh+1;//十位qh加1

end if;

if(ql<4 and qh=2) then//当十位qh等于2,个位ql小于4时ql<=ql+1;qh<=qh;//个位ql加1,十位qh不变

end if;

if(ql=3 and qh=2) then//当十位qh等于2,个位ql等于3

qh<="0000";ql<="0000";//十位、个位都清零

end if;

end if;

qout<=qh&ql;co<=tco;//通过qout输出十位个位

end process;

end behave;

2.60进制计数器的设计:

VHDL语言描述:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity cnt60 is

port(rst,clk:instd_logic;

co:outstd_logic;

qout:outstd_logic_vector(7 downto 0));

end cnt60;

architecture behave of cnt60 is

signal qh,ql:std_logic_vector(3 downto 0);

signal tco:std_logic;

begin

process(clk,rst)

begin

if (rst='0') then qh<="0000"; ql<="0000"; tco<='0';

//当rst为‘0’时,十位qh和个位ql清零,不进位

elsif (clk'event and clk='1') then

if (ql=9) then //当个位ql等于9时

if (qh=5) then qh<="0000";ql<="0000";tco<='1';

//如果是为qh等于5,则十位qh和个位ql为零,并产生一个进位信号

else qh<=qh+1;ql<="0000";tco<='0';

//如果qh不是等于5,则十位qh加1,个位ql为零,不产生进位信号

end if;

else ql<=ql+1;qh<=qh; tco<='0';

//如果个位ql不为9,则个位ql加1,十位qh不变,并不产生进位信号

end if;

end if;

qout<=qh&ql;co<=tco;//通过qout输出十位和个位

end process;

end behave;

3.二路选择器的设计:

VHDL语言描述:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity dex2 is

port(panduan,wubai,yiqian:instd_logic;

shuchu:outstd_logic);

end entity dex2;

architecture behave of dex2 is

begin

process(panduan,wubai,yiqian)

begin

if(panduan='0') then shuchu<=wubai;

//当panduan为0时,输出wubai端口的输入

else shuchu<=yiqian;//否则shuchu端口输出yiqian的端口输入

end if;

end process;

end behave;

4.分频器的设计:

VHDL语言描述:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port(clk:instd_logic;

hz1,hz4,hz64,hz512:out std_logic);

end entity fenpin;

architecture behavior of fenpin is

signal q:std_logic_vector(9 downto 0);

begin

process(clk)

begin

if(rising_edge(clk)) then

q<=q+1;

end if;

end process;

hz1<=q(9);

hz4<=q(7);

hz64<=q(3);

hz512<=q(0);

end behavior;//将1KHz分为1Hz、4Hz、64Hz、512Hz输出5.动态扫描的设计:

VHDL语言描述:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity dtsm is

port( clk:instd_logic;

s:in std_logic_vector(7 downto 0);

m:in std_logic_vector(7 downto 0);

h:in std_logic_vector(7 downto 0);

ledag:outstd_logic_vector(6 downto 0);

sel:outstd_logic_vector(2 downto 0));

end dtsm;

architecture behave of dtsm is

signal out1:std_logic_vector(3 downto 0);

signal sel1:std_logic_vector(2 downto 0);

begin

p1:process(clk)

begin

if rising_edge(clk) then //当有一个上升沿脉冲

sel1<=sel1+1;//sel自增1

end if;

sel<=sel1;

end process p1;

p2:process(sel1,s,h,m)

begin

case sel1 is

when "001"=>out1<=h(3 downto 0);//扫描数码管的第二位,即h的十位 when "000"=>out1<=h(7 downto 4);//扫描数码管的第一位,即h的十位 when "100"=>out1<=m(3 downto 0);//扫描数码管第四位,即m的个位 when "011"=>out1<=m(7 downto 4); //扫描数码管第三位,即m的十位when "111"=>out1<=s(3 downto 0); //扫描数码管第七位,即s的个位when "110"=>out1<=s(7 downto 4); //扫描数码管第六位,即s的十位 when others=>out1<="1111";

end case;

end process p2;

p3:process(out1)

begin

case out1 is

when "0000"=>ledag<="0111111";

when "0001"=>ledag<="0000110";

when "0010"=>ledag<="1011011";

when "0011"=>ledag<="1001111";

when "0100"=>ledag<="1100110";

when "0101"=>ledag<="1101101";

when "0110"=>ledag<="1111101";

when "0111"=>ledag<="0000111";

when "1000"=>ledag<="1111111";

when "1001"=>ledag<="1101111";

when "1010"=>ledag<="1000000";

//将0~9翻译显示在七段数码管上

when others=>ledag<="0000000";

//当不是0~9时,不显示

end case;

end process p3;

end behave;

6.整点报时功能的设计:

VHDL语言描述:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity zdbs is

port( s:in std_logic_vector(7 downto 0);

m:in std_logic_vector(7 downto 0);

shuchu,panduan:outstd_logic);

end entity zdbs;

architecture behave of zdbs is

begin

p1:process(s,m)

begin

if((("1001"=m(3 downto 0))and("0101"=m(7 downto 4))and("0101"=s(7 downto

4))and s(3 downto 0)="0000")or

(("1001"=m(3 downto 0))and("0101"=m(7 downto 4))and("0101"=s(7 downto

4))and s(3 downto 0)="0010")or

(("1001"=m(3 downto 0))and("0101"=m(7 downto 4))and("0101"=s(7 downto

4))and s(3 downto 0)="0100")or

(("1001"=m(3 downto 0))and("0101"=m(7 downto 4))and("0101"=s(7 downto

4))and s(3 downto 0)="0110")or

(("1001"=m(3 downto 0))and("0101"=m(7 downto 4))and("0101"=s(7 downto

4))and s(3 downto 0)="1000"))then panduan<='1';shuchu<='0';

//当分钟为59分,且秒钟为50秒、52秒、54秒、56秒、58秒时,

panduan输出1,shuchu输出0(即低音报时)

elsif(("0000"=m(3 downto 0))and("0000"=m(7 downto 4))and("0000"=s(7 downto 4))and s(3 downto 0)="0000")then panduan<='1';shuchu<='1';

//当分钟和秒钟都为0,即整点时,panduan输出1,

shuchu输出1(即高音报时)

else panduan<='0';//否则panduan输出0,即不报时

end if;

end process;

end behave;

7.选择显示与闹钟设置的设计:

VHDL语言描述:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity nz is

port( shuru:instd_logic;

ndm:instd_logic_vector(7 downto 0);

ndh:instd_logic_vector(7 downto 0);

m:in std_logic_vector(7 downto 0);

h:in std_logic_vector(7 downto 0);

outh:outstd_logic_vector(7 downto 0);

outm:outstd_logic_vector(7 downto 0);

shuchu:outstd_logic);

end nz;

architecture behave of nz is

begin

process(shuru,ndm,ndh,m,h)

begin

if(shuru='0')then outm(7 downto 0)<=m(7 downto 0);outh(7 downto 0)<=h(7 downto 0);

//如果shuru等于0,则输出显示现在时间

if(ndm(7 downto 0)=m(7 downto 0)and ndh(7 downto 0)=h(7 downto 0))then shuchu<='1';

//如果现在时间和设定的闹钟时间相等,则shuchu输出1(闹钟响)

else shuchu<='0'; //否则shuchu输出0(闹钟不响)

end if;

else outm(7 downto 0)<=ndm(7 downto 0);outh(7 downto 0)<=ndh(7 downto0);shuchu<='0';

//如果shuru等于1,则输出显示闹钟的时间

end if;

end process;

end behave;

四、顶层图

五、各个模块

1.计时模块:

2.整点报时与闹钟模块:

六、设计总结:

在编译设计过程中,总是会因为自己的不理解和不细心,出现不少问题。如一开始,因为操作步骤不正确,导致试了几次都不知道自己错在哪里,让同学一看,却立即解决了,这还是自己没有好好掌握quartus9.0软件的应用。如源程序中打错个别字母、符号,画图时不注意合理安排,连少或连错几条线,而造成大量的反复劳动;而且发现,有时程序不能编译,甚至出现十几个错误仅仅是因为少输一个分号。如在画顶层图时,由于没能事先弄清楚各器件间的关联,让我有时不知所措,不知道哪根线该连到哪儿,还会接错线,无意中删除了一些线等,不得不不断地尝试或请教同学和老师。如管脚锁定时出现器件类型选错,管脚锁错,导致下载后不能看到自己期望中的结果。如自己编的报时程序没有语法、逻辑错误,但就是在验证时不能实现全部功能(50秒到58秒的过程中不能报时),在老师的鼓励支持和同学的指点下,将程序完善,让电脑能清楚地识别功能。所以觉得编程需要精益求精。

感谢这次课程设计让我在实践中学到了数字逻辑电路的知识,增强了动手能力,感谢耿老师的鼓励和支持,感谢同学们对我耐心的指导和热心的帮助。

数字图像处理 课程设计报告

数字图像处理 课程设计报告 姓名: 学号: 班级: 设计题目:图像处理 教师:赵哲老师 提交日期: 12月29日

一、设计内容: 主题:《图像处理》 详细说明:对图像进行处理(简单滤镜,模糊,锐化,高斯模糊等),对图像进行处理(上下对称,左右对称,单双色显示,亮暗程度调整等),对图像进行特效处理(反色,实色混合,色彩平衡,浮雕效果,素描效果,雾化效果等), 二、涉及知识内容: 1、二值化 2、各种滤波 3、算法等 三、设计流程图 四、实例分析及截图效果: 运行效果截图: 第一步:读取原图,并显示 close all;clear;clc; % 清楚工作窗口clc 清空变量clear 关闭打开的窗口close all I=imread(''); % 插入图片赋给I imshow(I);% 输出图I I1=rgb2gray(I);%图片变灰度图 figure%新建窗口 subplot(321);% 3行2列第一幅图 imhist(I1);%输出图片

title('原图直方图');%图片名称 一,图像处理模糊 H=fspecial('motion',40); %% 滤波算子模糊程度40 motion运动 q=imfilter(I,H,'replicate');%imfilter实现线性空间滤波函数,I图经过H滤波处理,replicate反复复制q1=rgb2gray(q); imhist(q1); title('模糊图直方图'); 二,图像处理锐化 H=fspecial('unsharp');%锐化滤波算子,unsharp不清晰的 qq=imfilter(I,H,'replicate'); qq1=rgb2gray(qq); imhist(qq1); title('锐化图直方图'); 三,图像处理浮雕(来源网络) %浮雕图 l=imread(''); f0=rgb2gray(l);%变灰度图 f1=imnoise(f0,'speckle',; %高斯噪声加入密度为的高斯乘性噪声 imnoise噪声污染图像函数 speckle斑点 f1=im2double(f1);%把图像数据类型转换为双精度浮点类型 h3=1/9.*[1 1 1;1 1 1;1 1 1]; %采用h3对图像f2进行卷积滤波 f4=conv2(f1,h3,'same'); %进行sobel滤波 h2=fspecial('sobel'); g3=filter2(h2,f1,'same');%卷积和多项式相乘 same相同的 k=mat2gray(g3);% 实现图像矩阵的归一化操作 四,图像处理素描(来源网络) f=imread(''); [VG,A,PPG] = colorgrad(f); ppg = im2uint8(PPG); ppgf = 255 - ppg; [M,N] = size(ppgf);T=200; ppgf1 = zeros(M,N); for ii = 1:M for jj = 1:N if ppgf(ii,jj)

江苏大学单片机课程设计

单片机课程实验报告 班级J计算机1302 学号4131110037 姓名杨岚 指导老师余景华 2016.07.09

一、多功能数字钟的设计要求: 1.能在LED显示器上实现正常的时分秒计时 2.能通过键盘输入当前时间,并从该时间开始计时 3.有校时、校分功能 4.有报时功能,通过指示灯表示 5.有闹时功能,闹时时间可以设定,通过指示灯表示 二、课程设计电路图: 图1 设计电路图 ?HD7279A的片选引脚CS连在P5.7; ·通过C8051F020的P1.6、P1.7连接7279A的CLK和DATA实现串行数据编程; ?KEY连在比较器1的同相输入端CP1P

三、设计思路: 根据课程设计要求,我们要设计一个多功能数字电子时钟,随着人类科技文明的发展,高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。本实验正是基于这种设计方向,以单片机(C8051F020)为控制核心,设计制作一个多功能的数字时钟。在这些当中,必须要求要有时钟功能、校时校分功能、整点报时和闹钟功能等。 1.1首先要实现数码管的正常时分秒计时必须初始化系统时钟,初始化I/O端口以及定时器和使能比较器等,为系统的运行做必要的准备。 1.2其次通过键盘输入当前时间并从当前时间开始计时,这个过程中搞清楚,通过键盘输入的数据送到了哪里,是通过什么样的方式送进去的,同时对时间的计时有一个严密的算法来控制。 1.3在时间通过键盘输入并正常显示后,可以通过按键来进行校时、校分的功能。并显示出校正后的时间 1.4通过按键实现闹钟功能,在设置闹钟的同时,原时间能确保正确行走。 7279指令说明:88H,闪烁控制指令,d1-d8对应8个数码管,0表示闪烁,1表示不闪烁。 开始 输入按键(ABCD) 输入按键(F) 当达到整点时, A (校时的高位) F(输入闹钟)数码管闪烁3秒 B(校时的低位)当当前时间与闹钟时间相等时, C(校分的高位)数码管闪烁2秒。 D(校分的低位) 图2 功能模块图 ?输入按键A使得时钟的时高位加1,若时高位值超过2则返回0值。 ?输入按键B使得时钟的时低位加1,若时低位值超过9则返回0值同时时高位加1,最后判断时高位>1且时低位>3则时高位=0,时低位0。 ?输入按键C使得时钟的分高位加1,若分高位值超过5,则时低位加1,分高位至0。 ?输入按键D使得时钟的分低位加1,若分低位值超过9,则分高位加1,分低位至0。 ?输入按键E使得时钟的秒高位加1,若秒高位值超过5,则分低位加1,秒高位至0。 ?按键F进入闹钟模块。

WEB个人主页课程设计

Web应用开发技术 实验报告 专业:计算机科学与技术 班级: 学号: 姓名:

一、设计题目 个人网站 二、目的 1、本次设计是学生在学完ASP动态网站开发课程后的一次实践性很强的课程设计,是对ASP进行动态网站开发所学知识的综合运用。 2、掌握使用ASP技术进行网站开发设计。 3、通过本次实习,使学生加深所学知识内容的理解,并能积极地调动学生的学习兴趣,结合实际应用操作环境,真正做到理论与实际相结合。 三、功能需求描述 此网站可以对主人留言,来发表自己的心情,也可以把自己的联系方式写入其中,达到和睦相处、心灵的驿站的目的等。 四、总体设计

五、详细设计 (一)、我的主页 此页面为网站的主页,通过发布新心情,点击通讯录可以查看通讯录好友信息,点击留言板可以查看好友留言。 主要代码: 个人空间

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路课程设计报告(好)

天津职业技术师范大学电子工程学院电子技术之---万用表课程设计报告 同组学生姓名(学号):寡人猪八戒 任务分工::查阅资料设计电路并进行电脑仿真, 焊接电路并参与电路的安装与调试 负责撰写课程设计及实验总结的工作 设计时间:2012年04月28日——2012 年05月04日 指导教师: 一、课程设计的目的与要求(含设计指标) 1、设计目的 (1)了解ICL7107芯片工作原理及应用。 (2)能够使用电路仿真软件进行电路调试。 (3)掌握电子系统的一般设计方法。 (4)培养综合应用所学知识来指导实践的能力。 (5)掌握常用元器件的识别和测试。 (6)熟悉常用仪表,了解电路调试的基本方法。 2、设计要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真设计 (4)设计电路所需的直流电源。 (5)用分立元件和运放设计的万用表电路要求先用multisim进行电路仿真分析,仿真结果正确后,在进行安装调试。 3、设计指标 直流电压表:满量程+6V; 直流电流表:满量程10mA; 交流电压表:满量程6V,50HZ~1KHZ; 交流电流表:满量程10mA;

欧姆表:满量程分别为1KΩ,10KΩ,100KΩ 三、方案论证及选择 设计万用电表,总体来说有两种设计方案,来源于万用电表的指针式和数字式的两种类型。指针式万用电表,需有表头,同时主要用HA17741,LM324N等芯片,借助集成运放实现万用电表。但是考虑到表头的应用,还有本课题其他组同学采用这个方案,我们则采用了另一种方案,设计数字式万用电表。主要运用ICL7107来实现,ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。所以,用此芯片可以直接把模拟信号转换成数字信号,然后通过数码管显示出来。在数据采集时,采用分开设计,直流电压测量电路,直流电流测量电路,欧姆表测量电路,交流电流、电流测量电路。 三、原理设计(或基本原理) (1)基本原理:ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。ICL7107 是双积型的A/D 转换器,还集成了A/D 转换器的模拟部分电路,如缓冲器、积分器、电压比较器、正负电压参考源和模拟开关,以及数字电路部分如振荡源、计数器、锁存器、译码器、驱动器和控制逻辑电路等,使用时只需外接少量的电阻、电容元件和显示器件,就可以完成模拟到数字量的转换,从而满足设计要求。

课程设计报告MATLAB江苏大学

江苏大学 《电气工程工具软件培训》课程设计报告 设计题目:MATLAB工具软件 专业班级:电气1201 学生姓名:蔡婷 学生学号:3120501004 指导老师:黄永红 完成日期:2013.7.3 江苏大学·电气信息工程学院 (a组指导老师:黄永红; b组指导老师: 刘辉;c组指导老师:王博)

一MATLAB课程设计的目的和要求 1.MA TLAB软件功能简介 MA TLAB的名称源自Matrix Laboratory,1984年由美国Mathworks公司推向市场。它是一种科学计算软件,专门以矩阵的形式处理数据。MA TLAB将高性能的数值计算和可视化集成在一起,并提供了大量的内置函数,从而被广泛的应用于科学计算、控制系统和信息处理等领域的分析、仿真和设计工作。MA TLAB软件包括五大通用功能,数值计算功能(Nemeric)、符号运算功能(Symbolic)、数据可视化功能(Graphic)、数字图形文字统一处理功能(Notebook)和建模仿真可视化功能(Simulink)。其中,符号运算功能的实现是通过请求MAPLE内核计算并将结果返回到MA TLAB命令窗口。该软件有三大特点,一是功能强大;二是界面友善、语言自然;三是开放性强。目前,Mathworks公司已推出30多个应用工具箱。MA TLAB 在线性代数、矩阵分析、数值及优化、数值统计和随机信号分析、电路与系统、系统动力学、次那好和图像处理、控制理论分析和系统设计、过程控制、建模和仿真、通信系统以及财政金融等众多领域的理论研究和工程设计中得到了广泛应用。 2.MA TLAB课程设计的目的 本次课程设计主要是为了使学生了解MA TLAB软件的基本知识,熟悉MA TLAB的上机环境,掌握MA TLAB数值运算、程序设计、二维/三维绘图、符号运算、Simulink仿真等相关知识,并初步

个人博客_课程设计报告

目录 第一章概述 (1) 1.1 本课题的研究背景 (1) 1.2 本课题的研究意义 (1) 1.3 现行研究存在的问题及解决办法 (1) 1.3.1 需求分析问题 (1) 1.3.2 代码实现问题 (2) 第二章系统分析 (3) 2.1 系统需求分析 (3) 2.2 采用的关键技术介绍 (3) 2.2.1 https://www.doczj.com/doc/e73409543.html,简介 (3) 2.2.2 Access数据库简介 (4) 2.3 可行性分析 (4) 2.3.1 技术可行性 (4) 2.3.2 操作可行性 (4) 第三章系统概要设计 (6) 3.1 系统总体设计 (6) 3.1.1 运行环境 (6) 3.1.2 系统流程 (6) 3.1.3 系统结构 (7) 3.2 系统接口的概要设计 (8) 3.2.1 用户接口 (8) 3.3 数据库概要设计 (9) 3.3.1 逻辑结构设计 (9) 3.3.2 物理结构设计 (10) 第四章系统详细设计 (11) 4.1 系统界面的详细设计 (11) 4.1.1 普通用户首页的详细设计 (11) 4.1.2 留言界面的详细设计 (11) 4.1.3 后台首页的详细设计 (12) 4.2 数据库详细设计 (13) 4.2.1 表的详细设计 (13) 第五章系统实现 (15) 5.1 系统开发环境 (15) 5.2 系统实现 (15) 5.2.1 客户端系统实现 (15) 5.2.2 后台管理系统实现 (17)

5.3 系统运行环境要求 (17) 5.3.1 服务器端要求 (17) 5.3.2 客户端要求 (18) 5.4 系统部署 (18) 5.4.1数据库设置 (18) 5.4.2 服务器端运行设置步骤 (18) 第六章性能测试与分析 (19) 6.1 测试实例(测试集)的研究与选择 (19) 第七章课程设计总结 (20) 7.1 课程设计心得体会 (20) 参考文献 (21)

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电子技术基础课程设计DT-830B数字万用表报告

数字电子技术基础课程设计DT-830B数字万用表报告

三亚学院 2011~2012学年第2学期 数字电子技术基础课程设计报告 学院: 理工学院 专业: 测控技术与仪器 班级: 学号: 学生姓名: 指导教师: 2012年9月7日

目录 一、设计任务与要求……………………………………… 二、电路原理……………………………………………… 三、总原理图及元器件清单……………………………… 四、装配过程……………………………………………… 五、电路功能测试………………………………………… 六、结论与心得……………………………………………

DT-830B数字万用表的组装与调试 一、设计任务与要求 1、设计要求: 学习了解DT830B数字万用表,熟悉它的工作原理。然后安装并调试数字万用表。通过对DT830B数字万用表的安装与调试实训,了 解数字万用表的特点,熟悉装配数字万用表的基本工艺过程、掌握基本 的装配技艺、学习整机的装配工艺、培养自身的动手能力以及培养严谨 的学习工作作风。 DT830B由机壳熟料件(包括上下盖和旋钮)、印制板部件(包括插口)、液晶屏及表笔等组成,组装成功关键是装配印制板部件。因为 一旦被划伤或有污迹,将对整机的性能产生很大的影响。整机安装的流 程图如下所示: 3)认识DT830B数字万用表的液晶显示器件、印制板部件等。 4)安装制作一台DT830B数字万用表。 5)根据技术指标测试DT830B数字万用表的主要参数 6)校验数字式万用表,减小其误差。

二、电路原理 DT830B电路原理它是3位半数字万用表。 数字万用表的核心是以ICL7106A/D转化器为核心的数字万用表。A/D转化器将0~2V范围的模拟电压变成三位半的BCD码数字显示出来。将被测直流电压、交流电压、直流电流及电阻的物理量变成0~2V的直流电压,送到ICL7106的输入端,即可在数字表上进行检测。 为检测大于2V的直流电压,在输入端引入衰减器,将信号变为0~2V,检测显示时再放大同样的倍数。 检测直流电流,首先必须将被测电流变成0~2V的直流电压即实现衰减与I/V 变换。衰减是有精密电阻构成的具有不同分流系数的分流器完成。 电阻的检测是利用电流源在电阻上产生压降。因为被测电阻上通过的电流是恒定的,所以在被测电阻上产生的压降与其阻值成正比,然后将得到的电压信号送到A/D转换器进行检测。 三、总原理图及元器件清单

2013数字图像处理课程设计报告

数字图像处理 课程设计报告 课设题目:彩色图像增强软件学院:信息科学与工程学院专业:电子与信息工程 班级: 1002501 姓名:曾小路 学号: 100250131 指导教师:赵占峰 哈尔滨工业大学(威海) 2013 年12月27日

目录 目录 .......................................................................................................................... I 一. 课程设计任务 (1) 二. 课程设计原理及设计方案 (2) 2.1 彩色图像基础 (2) 2.2 彩色模型 (2) 三. 课程设计的步骤和结果 (6) 3.1 采集图像 (6) 3.2 图像增强 (7) 3.3 界面设计 (9) 四. 课程设计总结 (12) 五. 设计体会 (13) 六. 参考文献 (14)

哈尔滨工业大学(威海)课程设计报告 一. 课程设计任务 1.1设计内容及要求: (1)、独立设计方案,根据所学知识,对由于曝光过度、光圈过小或图像亮度不均匀等情况下的彩色图像进行增强,提高图像的清晰度(通俗地讲,就是图像看起来干净、对比度高、颜色鲜艳)。 (2)、参考photoshop 软件,设计软件界面,对处理前后的图像以及直方图等进行对比显示; (3)、将实验结果与处理前的图像进行比较、分析。总结设计过程所遇到的问题。 1.2参考方案 1、实现图像处理的基本操作 学习使用matlab 图像处理工具箱,利用imread()语句读入图像,例如image=imread(flower.jpg),利用彩色图像模型转换公式,将RGB 类型图像转换为HSI 类型图像,显示各分量图像(如imshow(image)),以及计算和显示各分量图像直方图。 2、彩色图像增强实现 对HSI彩色模型图像的I分量进行对比度拉伸或直方图均衡化等处理,提高亮度图像的对比度。对S分量图像进行适当调整,使图像色彩鲜艳或柔和。 H 分量保持不变。将处理后的图像转换成RGB 类型图像,并进行显示。分析处理图像过程和结果存在的问题。 3、参照“photoshop”软件,设计图像处理软件界面 可设计菜单式界面,在功能较少的情况下,也可以设计按键式界面,视 功能多少而定;参考matlab 软件中GUI 设计,学习软件界面的设计 - 1 -

江苏大学 dsp课程设计

JIANGSU UNIVERSITY 本科生课程设计DSP课程设计实验报告 基于ICETEK5509实验箱和基2FFT 算法的频谱分析 学院名称:计算机科学与通信工程学院 专业班级:通信工程 学生姓名: 指导教师姓名: 指导教师职称: 年月

一、设计目的与意义 1、本课程设计与理论课、实验课一起构成《DSP芯片原理与应用》完整课程 体系; 2、针对理论课、实验课中无时间和不方便提及内容和需强调重点进行补充与 完善; 3、以原理算法的实现与验证体会DSP技术的系统性,并加深基本原理的体会。 二、设计要求 1、系统设计要求: ⑴.设计一个以ICETEK5509为硬件主体,FFT为核心算法的频谱分析系统 方案; ⑵.用C语言编写系统软件的核心部分,熟悉CCS调试环境的使用方法, 在CCS IDE中仿真实现方案功能; ⑶.在实验箱上由硬件实现频谱分析。 2、具体要求: ⑴.FFT算法C语言实现与验证 1) 参考教材14.3节FFT核心算法在CCS软件仿真环境中建立FFT工 程:添加main()函数,更改教材中个别语法错误,添加相应的库文 件,建立正确的FFT工程; 2) 设计检测信号,验证FFT算法的正确性及FFT的部分性质; 3) 运用FFT完成IFFT的计算。 ⑵.单路、多路数模转换(A/D) 1) 回顾CCS的基本操作流程,尤其是开发环境的使用; 2) 参考实验指导和示例工程掌握5509芯片A/D的C语言基本控制流 程; 3) 仔细阅读工程的源程序,做好注释,为后期开发做好系统采集前端 设计的准备。 ⑶.系统集成,实现硬件频谱分析 1) 整合前两个工程,实现连续信号的频谱分析工程的构建;

个人博客网站课程设计

课程设计Ⅴ课程设计 设计说明书 个人博客管理网站 学生姓名 学号 班级 成绩 指导教师

课程设计任务书 2011—2012学年第二学期 专业:信息管理与信息系统学号:姓名: 课程设计名称:课程设计V课程设计 设计题目:个人博客管理网站 完成期限:自2012 年 2 月20 日至2012 年 3 月 2 日共 2 周设计依据、要求及主要内容(可另加附页): 现在的很多网站都是用户建立的一个日记网站,也就是博客网站。用户可以通过书写博客把自己的心情,想法等通过互联网和朋友交流。本次课程设计依据《网页设计》课程所学的内容,结合现在网站发展的趋势和布局要求,利用Macromedia Dreamweaver 、visual studio 、Fireworks和Flash等网页设计工具,建立一个基于https://www.doczj.com/doc/e73409543.html,的个人博客网站。 具体要求及主要内容: 1.利用c#作为博客的开发语言。 2.后台数据库可以采用ACCESS或者SQL SERVER。 3.网站首页的导航菜单准确无误,无死连接。 4.利用CSS样式,美化网站的字体和颜色。 5.进入任何一个博客页面都可返回首页。页面显示没有错误。 6.管理员可登陆博客后台管理博客。要求能自定义的博客的栏目,发表博文和图片。7.管理员可以随时修改和删除发布的博文。 指导教师(签字):教研室主任(签字): 批准日期:年月日

博客是开放的私人空间,可以充分利用超文本链接、网络互动、动态更新等特点,可以以文会友,结识和汇聚朋友,进行深度交流沟通。本次课题个人博客系统主要用于发表个人最近日志,记录一些心情感想的一种网页形式博客。本网站主要是利用visual studio2010 制作而成,并结合Fireworks和Flash等网页设计工具进行网页的设计,后台采用SQL SERVER 2005数据库,使用c#语言设计的一个个人博客管理动态网站。 关键词:visual studio2010;个人博客;动态网站

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字图像处理课程设计报告

课程设计报告书课程名称:数字图像处理 题目:数字图像处理的傅里叶变换 学生姓名: 专业:计算机科学与技术 班别:计科本101班 学号: 指导老师: 日期: 2013 年 06 月 20 日

数字图像处理的傅里叶变换 1.课程设计目的和意义 (1)了解图像变换的意义和手段 (2)熟悉傅里叶变换的基本性质 (3)热练掌握FFT的方法反应用 (4)通过本实验掌握利用MATLAB编程实现数字图像的傅里叶变换 通过本次课程设计,掌握如何学习一门语言,如何进行资料查阅搜集,如何自己解决问题等方法,养成良好的学习习惯。扩展理论知识,培养综合设计能力。 2.课程设计内容 (1)熟悉并掌握傅立叶变换 (2)了解傅立叶变换在图像处理中的应用 (3)通过实验了解二维频谱的分布特点 (4)用MATLAB实现傅立叶变换仿真 3.课程设计背景与基本原理 傅里叶变换是可分离和正交变换中的一个特例,对图像的傅里叶变换将图像从图像空间变换到频率空间,从而可利用傅里叶频谱特性进行图像处理。从20世纪60年代傅里叶变换的快速算法提出来以后,傅里叶变换在信号处理和图像处理中都得到了广泛的使用。 3.1课程设计背景 数字图像处理(Digital Image Processing)又称为计算机图像处理,它是指将图像信号转换成数字信号并利用计算机对其进行处理的过程。是通过计算机对图像进行去除噪声、增强、复原、分割、提取特征等处理的方法和技术。 3.2 傅里叶变换 (1)应用傅里叶变换进行数字图像处理 数字图像处理(digital image processing)是用计算机对图像信息进行处理的一门技术,使利用计算机对图像进行各种处理的技术和方法。 20世纪20年代,图像处理首次得到应用。20世纪60年代中期,随电子计算机的发展得到普遍应用。60年代末,图像处理技术不断完善,逐渐成为一个新兴的学科。利用数字图像处理主要是为了修改图形,改善图像质量,或是从图像中提起有效信息,还有利用数字图像处理可以对图像进行体积压缩,便于传输和保存。数字图像处理主要研究以下内容:傅立叶变换、小波变换等各种图像变换;对图像进行编码和压缩;采用各种方法对图像进行复原和增强;对图像进行分割、描述和识别等。随着技术的发展,数字图像处理主要应用于通讯技术、宇宙探索遥感技术和生物工程等领域。

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电路课程设计报告

数字电路课程设计报告 导语:真正的知识来自内心,而不是得自别人的传授。同时,唯有出自内心的知识,才能使人拥有真正的智慧。以下小编为大家介绍数字电路课程设计报告文章,欢迎大家阅读参考! 数字电路课程设计报告设计题目:数字电子钟逻辑电路专业班级:自动化112班学生姓名: xx 学号:xx指导教师: xx 设计时间: xx 教师评语:成绩评阅教师日期 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能实现准确计时,并显示时、分、秒,而且可以方便准确的对时间进行调节。在此基础上,还可以实现整点报时的功能。因此,数字钟的应用十分广泛。我们要通过这次的课程设计掌握数字钟的原理,学会设计简单的数字钟。 用中小规模集成电路设计一台能显示时、分、秒24时制地的数字电子钟,具体要求如下: 时为00-23二十四进制计数器;秒、分为00-59六十进制计数器; 整点报时,整点报时电路要求在每个整点前鸣叫五次低音,整点时再鸣叫一次高音。

数字电子钟所采用的是十六进制计数器74LS161和十进制计数器74SL160,根据时分秒各个部分的的不同功能,设计成不同进制。秒的个位,需要10进制计数器,十位需6进制计数器。秒部分设计与分钟的设计完全相同;时部分的设计为当时钟计数到24时,使计数器的小时部分清零,从而实现整体循环计时的功能。 (1)数字电子技术实验系统箱, (2)直流稳压电源, (3)集成芯片:74LS161 2个、74LS160 4个、 74LS00 2个、 74LS20 1个。 (4)喇叭,1/4W、8Ω。 计数部分:利用74LS161芯片,74LS160芯片和74LS00芯片组成的计数器,它们采用异步连接,利用外接标准1Hz 脉冲信号进行计数。 1. 显示部分:将两片74LS161芯片和四片74LS60的Q0Q1Q2Q3脚分别接到实验箱上的数码显示管上,根据脉冲的个数显示时间。 (一)设计步骤及方法 所有74LS161芯片和74LS160的16脚接5V电源(置为1),3脚、4脚、5脚、6脚和8脚接地。74LS00芯片的14脚接5V电源,7接地。 1. 秒设计 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器74LS160和与非门74LS00在面包板上设计10进制计数器显示秒的个位。

数字图像处理课程设计(实验报告)

上海理工大学 计算机工程学院 实验报告 实验名称红细胞数目统计课程名称数字图像处理 姓名王磊学号0916020226 日期2012-11-27 地点图文信息中心成绩教师韩彦芳

一、设计内容: 主题:《红细胞数目检测》 详细说明:读入红细胞图片,通过中值滤波,开运算,闭运算,以及贴标签等方法获得细胞个数。 二、现实意义: 细胞数目检测在现实生活中的意义主要体现在医学上的作用,可通过细胞数目的检测来查看并估计病人或动物的血液中细胞数,如估测血液中红细胞、白细胞、血小板、淋巴细胞等细胞的数目,同时也可检测癌细胞的数目来查看医疗效果,根据这一系列的指标来对病人或动物进行治疗,是具有极其重要的现实作用的。 三、涉及知识内容: 1、中值滤波 2、开运算 3、闭运算 4、二值化 5、贴标签 四、实例分析及截图效果: (1)代码如下: 1、程序中定义图像变量说明 (1)Image--------------------------------------------------------------原图变量;

(2)Image_BW-------------------------------------------------------值化图象; (3)Image_BW_medfilt-------------------------中值滤波后的二值化图像; (4)Optimized_Image_BW---通过“初次二值化图像”与“中值滤波后的二值化图像”进行“或”运算优化图像效果; (5)Reverse_Image_BW--------------------------优化后二值化图象取反;(6)Filled_Image_BW----------------------已填充背景色的二进制图像;(7)Open_Image_BW--------------------------------------开运算后的图像; 2、实现代码: %-------图片前期处理------------------- %第一步:读取原图,并显示 A = imread('E:\红细胞3.png'); Image=rgb2gray(A); %RGB转化成灰度图 figure,imshow(Image); title('【原图】'); %第二步:进行二值化 Theshold = graythresh(Image); %取得图象的全局域值 Image_BW = im2bw(Image,Theshold); %二值化图象 figure,imshow(Image_BW); title('【初次二值化图像】'); %第三步二值化图像进行中值滤波 Image_BW_medfilt= medfilt2(Image_BW,[13 13]); figure,imshow(Image_BW_medfilt); title('【中值滤波后的二值化图像】'); %第四步:通过“初次二值化图像”与“中值滤波后的二值化图像”进行“或”运算优化图像效果 Optimized_Image_BW = Image_BW_medfilt|Image_BW; figure,imshow(Optimized_Image_BW); title('【进行“或”运算优化图像效果】'); %第五步:优化后二值化图象取反,保证:‘1’-〉‘白色’,‘0’-〉‘黑色’ %方便下面的操作 Reverse_Image_BW = ~Optimized_Image_BW; figure,imshow(Reverse_Image_BW); title('【优化后二值化图象取反】');

课程设计个人总结

课程设计个人总结 课程设计总结(一) 为期10天的课程设计将要结束了。在这两周的学习中,我学到了很多,也找到了自己身上的不足。感受良多,获益匪浅。 这10天中,我们小组分工合作、齐心协力,一齐完成了课程设计前的准备工作(阅读课程设计相关文档)、小组讨论分工、完成系统开发的各个文档、课程设计总结报告、小组汇报PPT、个人日记,个人小结的任务。在课程设计的第一天我们便对这次任务进行了规划和分工。在以后的几天中,我们组的成员一齐努力,查阅资料、小组讨论、对资料进行分析,并在这段时间里完成了网站的开发设计,并最后撰写课程设计报告及个人总结。我的主要工作是完成课程设计总结和制作PPT,并上台汇报。应对这样的任务,我开始真的很担心,不是害怕要写那么多资料,而是怕站在讲台上,应对那么多的人,我怕讲不出话来。有过两次上台经验的潘同学就耐心的给我传授一下他的心得。而且这是我们必经的过程,以后走入社会肯定会有很多场合需要我们在公共场合讲话,此刻如果不去讲的话,那以后我们怎样办呢?还是硬着头皮上吧,没有别的选取了。 在课程设计的过程中,我们经历了感动,经历了一齐奋斗的酸甜苦辣。也一齐分享了成功的喜悦。这次的课程设计对我们每个人来说都是一个挑战。课程设计中文档的撰写我从来就没有担心过,就是网站的设计我

真的很担心,平时对这方面的知识接触的就不是很多,而且对于软件我就更抓狂了。这时候小组的力量就体现出来了,各司其职,各尽其能。发挥了群众的效用。 在这个过程,我受到了好多帮忙,一句温暖的话语,一杯热热的咖啡,让人有无比的动力和解决问题的决心。其实这次的课程设计我的最大的感受不是知识的获得,而是人格的磨练和交际的潜力。 和大家想的一样我们也会产生一些小矛盾,当然这是不可避免的。在产生小矛盾的时候,我们没有逃避。重要的是我们如何去解决它。为什么会产生这些矛盾,以怎样的方式去解决它,这是我们就应去思考的问题。我想经过这样的一个过程我们会学到很多,学会了怎样去和别人沟通,理解别人所做的事,别人也会宽容的对待我们,从而我们就在无形之中加强了我们的人际交往潜力。这个经验对我们以后的人生将会发挥很大的作用。毕竟我们是生活在人类这个群体之中的。假如世界上只剩下一个人,那么他不可能长久的生活下去的。 课程设计这样群众的任务光靠团队里的一个人或几个人是不可能完成 好的,合作的原则就是要利益均沾,职责公担。如果让任务交给一个人,那样既增加了他的压力,也增大了完成任务的风险,降低了工作的效率。所以在群众工作中,团结是必备因素,要团结就是要让我们在合作的过程中:真诚,自然,微笑;说礼貌用语;不斤斤计较;多讨论,少争论,会谅解对方;对他人主动打招呼;会征求同学的意见,会关心同学,会

相关主题
文本预览
相关文档 最新文档