当前位置:文档之家› 计算机组成原理课程设计论文

计算机组成原理课程设计论文

计算机组成原理课程设计论文
计算机组成原理课程设计论文

计算机组成原理课程设计说明书

题目:设计求负数平方和的CISC模型计算机

院(系):计算机科学与工程学院

专业:计算机科学与技术

学生姓名:莫兴伟

学号:0900310321

指导教师:陈智勇

1、课程设计的题目和内容:设计一台嵌入式CISC模型计算机(采用定长

CPU周期、联合控制方式),并运行能完成一定功能的机器语言程序进行验证。

要求连续输入5个有符号整数(用8位二进制补码表示,十六进制数输入)求所有负数的平方和并输出显示。

说明:①5个有符号数从外部输入;

②一定要使用符号位(比如说SF),并且要使用负的时候转移(比如

说JS)或不为负的时候转移(比如说JNS)指令。

课程设计完成的内容

1.完成系统的总体设计,画出模型机数据通路框图;

2.设计微程序控制器(CISC模型计算机)的逻辑结构框图;

3.设计机器指令格式和指令系统;

4.设计时序产生器电路;

5.设计所有机器指令的微程序流程图;

6.设计操作控制器单元;

在CISC模型计算机中,设计的内容包括微指令格式(建议采用全水平型微指令)、微指令代码表(根据微程序流程图和微指令格式来设计)和微程序控制器硬件电路(包括地址转移逻辑电路、微地址寄存器、微命令寄存器和控制存储器等。具体电路根据微程序控制器的逻辑结构框图、微指令格式和微指令代码来设计)。

7.设计模型机的所有单元电路,并用VHDL语言(也可使用GDF文件----图形描述文件)对模型机中的各个部件进行编程,并使之成为一个统一的整体,即形成顶层电路或顶层文件;

8.由给出的题目和设计的指令系统编写相应的汇编语言源程序;

9.根据设计的指令格式,将汇编语言源程序手工转换成机器语言源程序,并将其设计到模型机中的ROM中去;

10.使用EDA软件进行功能仿真,要保证其结果满足题目的要求;(其中要利用EDA软件提供的波形编辑器,选择合适的输入输出信号及中间信号进行调试。)

2、系统的总体设计:

整个系统数据通路如下所示:

本模型机中的指令系统中共有9条基本指令,下表列出了每条指令的格式、汇编符号和指令功能。

1、模型机的指令系统和所有指令的指令格式

1)I/O指令

输入指令(IN1)格式:

7 6 5 4 3 2 1 0

操作码X X Rd

输入指令(OUT1)格式:

7 6 5 4 3 2 1 0

操作码Rs X X

2)转移指令

条件转移指令(JB)和无条件跳转指令(JMP)格式:

7 6 5 4 3 2 1 0

操作码X X X X

地址

3)比较指令和相加指令

比较指令(CMP)和相加指令(ADD)的格式:

7 6 5 4 3 2 1 0

操作码Rs Rd

4)MOV指令

MOV指令格式:

7 6 5 4 3 2 1 0

操作码X X Rd

立即数

5)乘法指令

乘法指令MUL格式:

7 6 5 4 3 2 1 0

操作码Rs Rd

6)自减指令

自减1指令(DEC)格式:

7 6 5 4 3 2 1 0

操作码X X Rd

助记符号指令格式功能IN1 Rd 1 0 0 0 ××Rd 将数据存到Rd寄存器

OUT1 Rs 1 1 1 1 Rs ××(Rs)→LED

ADD Rs,Rd 1 1 0 0 Rs Rd (Rs)+(Rd)→Rd

CMP Rs,Rd 1 0 1 0 Rs Rd (Rs)-(Rd),锁存CY和ZI DEC Rd 0 1 1 1 ××Rd (Rd)-1→Rd

data→Rd MOV Rd,data 1 0 0 1 ××Rd

data

addr→PC JMP addr 1 1 1 0 ××××

addr

JB addr 1 0 1 1 ××××

若小于,则addr→PC

addr

MUL Rs Rd 1 1 0 1 ××Rd

其中,对Rs和Rd的规定:

Rs或Rd 选定的寄存器

0 0 R0

0 1 R1

1 0 R2

模型机规定数据的表示采用定点整数补码表示,单字长为8位,其格式如下:

7 6 5 4 3 2 1 0

符号位尾数

T1、T2、T3、T4与CLR、Q之间的关系图

CLR

Q

T1

T2

T3

T4

一个CPU周期

现在,我们开始微程序控制器的设计,它包括以下几部分工作:

(1)根据指令格式和指令系统设计所有机器指令的微程序流程图,并确定每条微指令的微地址和后继微地址;

(2)设计微指令格式和微指令代码表;

(3)设计地址转移逻辑电路;

(4)设计微程序控制器中的其它逻辑单元电路,包括微地址寄存器、微命令寄存器和控制存储器;

(5)设计微程序控制器的顶层电路。

首先做第一步,根据指令格式和指令系统设计所有机器指令的微程序流程图.对于我设计的模型机,对应的指令流程图如下所示:

第二步,指令流程图设计完成后,开始设计微指令格式和微指令代码表,按照要求,CISC模型机系统使用的微指令采用全水平型微指令,字长为25位,其中微命令字段为17位,P字段为2位,后继微地址为6位,其格式如下:

24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

LOAD LDPC LDAR LDIR LDRi RD_B RS_B S1 S0 ALU_B LDAC LDDR WR CS SW_B LED_B LDFR P1 P2 后继微地址

按照这个格式,再根据我设计的指令流程图,可以得到:

由微指令格式和微程序流程图编写的微指令代码表如下所示,在微指令的代码表中微命令字段从左边到右代表的微命令信号依次为:LOAD、LDPC、LDAR、LDIR、LDRi、RD_B、RS_B、S1、S0、ALU_B、LDAC、LDDR、WR、CS、SW_B、LED_B、LDFR。

微地址微命令字段P1 P2 后继微地址000000 1 1 1 0 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 000010 000001 1 0 0 0 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 000000 000010 1 0 0 1 0 1 1 0 0 1 0 0 1 0 1 1 0 1 0 001000 000011 1 0 0 0 1 1 1 0 0 1 0 0 1 0 1 1 0 0 0 000000 000100 1 0 0 0 0 0 1 0 0 1 0 1 1 1 1 1 0 0 0 000001 000101 1 0 0 0 0 1 1 0 1 1 0 0 1 1 1 1 1 0 0 000000 000110 1 0 0 0 0 0 1 0 0 1 0 1 1 1 1 1 0 0 0 000001

000111 1 0 0 0 0 0 1 0 0 1 1 0 1 1 1 1 0 0 0 010100 001000 1 0 0 0 1 1 1 0 0 1 0 0 1 1 0 1 0 0 0 000000 001001 1 1 1 0 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 000011 001010 1 0 0 0 0 1 0 0 0 1 1 0 1 1 1 1 0 0 0 000100 001011 1 1 1 0 0 1 1 0 0 1 0 0 1 1 1 1 0 0 1 100000 001100 1 0 0 0 0 1 0 0 0 1 1 0 1 1 1 1 0 0 0 000110 001101 1 0 0 0 0 1 0 0 0 1 1 0 1 1 1 1 0 0 0 010010 001110 1 1 1 0 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 010011 001111 1 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 000000 010010 1 0 0 0 0 0 1 0 0 1 0 1 1 1 1 1 0 0 0 010101 010011 0 1 0 0 0 1 1 0 0 1 0 0 1 0 1 1 0 0 0 000000 010100 1 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 000000 010101 1 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 0 0 0 000000 100000 0 1 0 0 0 1 1 0 0 1 0 0 1 0 1 1 0 0 0 000000 110000 1 0 0 0 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 000000

第三步:设计好了微指令代码表之后,我们可以开始设计地址转移逻辑电路地址转移逻辑电路是根据微程序流程图3-2中的棱形框部分及多个分支微地址,利用微地址寄存器的异步置“1”端,实现微地址的多路转移。

由于微地址寄存器中的触发器异步置“1”端低电平有效,与μA4~μA0对应的异步置“1”控制信号SE5~SE1的逻辑表达式为:(μA5的异步置“1”端SE6实际未使用)

SE5=(FC+FZ)·P(2)·T4

SE4=I7·P(1)·T4

SE3=I6·P(1)·T4

SE2=I5·P(1)·T4

SE1=I4·P(1)·T4

需要注意的是:地址转移逻辑电路中异步置“1”信号SE5~SE1表达式的确定与P字段测试时转移微地址的确定密切相关.地址转移逻辑电路的实现代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ADDR IS

PORT(

I7,I6,I5,I4:IN STD_LOGIC;

FZ,FC,T4,P1,P2:IN STD_LOGIC;

SE6,SE5,SE4,SE3,SE2,SE1:OUT STD_LOGIC

);

END ADDR;

ARCHITECTURE A OF ADDR IS

BEGIN

SE6<='1';

SE5<=NOT ((NOT FC OR FZ ) AND P2 AND T4);

SE4<=NOT(I7 AND P1 AND T4);

SE3<=NOT(I6 AND P1 AND T4);

SE2<=NOT(I5 AND P1 AND T4);

SE1<=NOT(I4 AND P1 AND T4);

END A;

编译通过之后生成图形符号,我们的地址转移逻辑电路就完成了。

接下来继续生成微控制器里面的其他器件和电路:我们先看看微地址寄存器aa 的设计,它的内部电路图如下所示:

可以看得出,AA中带有异步清“0”和异步置“1”功能的触发器MMM,它的实现代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MMM IS

PORT(

SE:IN STD_LOGIC;

T2:IN STD_LOGIC;

D:IN STD_LOGIC;

CLR:IN STD_LOGIC;

UA:OUT STD_LOGIC

);

END MMM;

ARCHITECTURE A OF MMM IS

BEGIN

PROCESS(CLR,SE,T2)

BEGIN

IF(CLR='0') THEN

UA<='0';

ELSIF(SE='0')THEN

UA<='1';

ELSIF(T2'EVENT AND T2='1') THEN

UA<=D;

END IF;

END PROCESS;

END A;

编译成功后生成MMM元器件,然后连接个个触发器并添加输入输出端,完成后的图形文件如下所示:

生成了AA之后,在分别生成CONTROM MCOMMAND F1 F2 F3等5个器件即可生成一个完整的微程序控制器CROM,其内部电路如下所示:

接下来该做的是控制存储器CONTROM,实现它的VHDL源程序如下所示:LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CONTROM IS

PORT(ADDR: IN STD_LOGIC_VECTOR(5 DOWNTO 0);

UA:OUT STD_LOGIC_VECTOR(5 DOWNTO 0);

D:OUT STD_LOGIC_VECTOR(18 DOWNTO 0)

);

END CONTROM;

ARCHITECTURE A OF CONTROM IS

SIGNAL DATAOUT: STD_LOGIC_VECTOR(24 DOWNTO 0);

BEGIN

PROCESS(ADDR)

BEGIN

CASE ADDR IS

WHEN "000000" => DATAOUT<="1110011001001111000000010";

WHEN "000001" => DATAOUT<="1000010001101111000100100";

WHEN "000010" => DATAOUT<="1001011001001011010000000";

WHEN "000011" => DATAOUT<="1000111001001011000000000";

WHEN "000100" => DATAOUT<="1000001001011111000000101";

WHEN "000101" => DATAOUT<="1000011011001111100000000";

WHEN "000110" => DATAOUT<="1000001001011111000000111";

WHEN "000111" => DATAOUT<="1000111000001111000000000";

WHEN "001000" => DATAOUT<="1000111001001101000000000";

WHEN "001001" => DATAOUT<="1110011001001111000000011";

WHEN "001010" => DATAOUT<="1000010001101111000000100";

WHEN "001011" => DATAOUT<="1110011001001111001100000";

WHEN "001100" => DATAOUT<="1000010001101111000000110";

WHEN "001101" => DATAOUT<="1000001001101111000010010";

WHEN "001110" => DATAOUT<="1110011001001111000010011";

WHEN "001111" => DATAOUT<="1000010001000110000000000";

WHEN "010010" => DATAOUT<="1000111100001111000000000";

WHEN "010011" => DATAOUT<="0100011001001011000000000";

WHEN "100000" => DATAOUT<="0100011001001011000000000";

WHEN "110000" => DATAOUT<="1000011001001111000000000";

WHEN "100100" => DATAOUT<="1000001001011111000100101";

WHEN "100101" => DATAOUT<="1000111110001111000000000";

WHEN OTHERS => DATAOUT<="1110011001001111000000010";

END CASE;

UA(5 DOWNTO 0)<=DATAOUT(5 DOWNTO 0);

D(18 DOWNTO 0)<=DATAOUT(24 DOWNTO 6);

END PROCESS;

END A;

程序中的25位控制信号就是按照上面确定的微指令代码表确定的。编译通

过后生成了控制存储器,接下来该生成微命令寄存器MCOMMAND,同样也是通过VHDL来描述即可,它的实现代码如下所示:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY MCOMMAND IS

PORT(

T2,T3,T4,I3,I2,I1,I0:IN STD_LOGIC;

O:IN STD_LOGIC_VECTOR(18 DOWNTO 0);

P1,P2,LOAD,LDPC,LDAR,LDIR,LDR0,LDR1,LDR2,LDR3,R0_B,R1_B,R2_B,R3_B,S1,S0,ALU_B,L DAC,LDDR,WR,CS,SW_B,LED_B,LDFR:OUT STD_LOGIC

);

END MCOMMAND;

ARCHITECTURE A OF MCOMMAND IS

SIGNAL DATAOUT:STD_LOGIC_VECTOR(18 DOWNTO 0);

BEGIN

PROCESS(T2)

BEGIN

IF(T2'EVENT AND T2='1')THEN

DATAOUT(18 DOWNTO 0)<=O(18 DOWNTO 0);

END IF;

P2<=DATAOUT(0);

P1<=DATAOUT(1);

LDFR<=DATAOUT(2) AND T4;

LED_B<=DATAOUT(3);

SW_B<=DATAOUT(4);

CS<=DATAOUT(5);

WR<=DATAOUT(6)OR(NOT T3);

LDDR<=DATAOUT(7) AND T4;

LDAC<=DATAOUT(8) AND T4;

ALU_B<=DATAOUT(9);

S0<=DATAOUT(10);

S1<=DATAOUT(11);

R3_B<=(DATAOUT(13)OR(NOT I1)OR (NOT I0))AND(DATAOUT(12)OR(NOT I3)OR (NOT I2)); R2_B<=(DATAOUT(13)OR(NOT I1)OR I0)AND(DATAOUT(12)OR(NOT I3)OR I2);

R1_B<=(DATAOUT(13)OR(NOT I0)OR I1)AND(DATAOUT(12)OR(NOT I2)OR I3);

R0_B<=(DATAOUT(13)OR I1 OR I0)AND(DATAOUT(12)OR I3 OR I2);

LDR3<=T4 AND DATAOUT(14)AND I1 AND I0;

LDR2<=T4 AND DATAOUT(14)AND I1 AND (NOT I0);

LDR1<=T4 AND DATAOUT(14)AND (NOT I1) AND I0;

LDR0<=T4 AND DATAOUT(14)AND (NOT I1) AND (NOT I0);

LDIR<=DATAOUT(15)AND T3;

LDAR<=DATAOUT(16)AND T3;

LDPC<=DATAOUT(17)AND T4;

LOAD<=DATAOUT(18);

END PROCESS;

END A;

编译成功后创建图形文件即可以生成微命令寄存器MCOMMAND,然后再分别生成微地址转换器F1、F2、F3,三个器件代码分别如下所示:

F1:LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY F1 IS

PORT(

UA5,UA4,UA3,UA2,UA1,UA0: IN STD_LOGIC;

D:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)

);

END F1;

ARCHITECTURE A OF F1 IS

BEGIN

D(5)<=UA5;

D(4)<=UA4;

D(3)<=UA3;

D(2)<=UA2;

D(1)<=UA1;

D(0)<=UA0;

END A;

F2:LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY F2 IS

PORT(

D:IN STD_LOGIC_VECTOR(5 DOWNTO 0);

UA5,UA4,UA3,UA2,UA1,UA0: OUT STD_LOGIC

);

END F2;

ARCHITECTURE A OF F2 IS

BEGIN

UA5<=D(5);

UA4<=D(4);

UA3<=D(3);

UA2<=D(2);

UA1<=D(1);

UA0<=D(0);

END A;

F3:LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY F3 IS

PORT(

D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

UA7,UA6,UA5,UA4,UA3,UA2,UA1,UA0: OUT std_logic

);

END F3;

ARCHITECTURE A OF F3 IS

BEGIN

UA7<=D(7);

UA6<=D(6);

UA5<=D(5);

UA4<=D(4);

UA3<=D(3);

UA2<=D(2);

UA1<=D(1);

UA0<=D(0);

END A;

这些器件都生成玩后,可以进行下一步设计,也就是设计顶级电路,顶层电路是这样的:

我们已经生成了CROM,我们还需要:算数逻辑单元ALU;状态条件寄存器LS74;暂存器LS273;通用寄存器LS273;1:2分配器FEN2;3选1数据选择器MUX3;5选1数据选择器MUX5;程序计数器PC;地址寄存器LS273;ROM芯片ROM16;指令寄存器IR;时序产生器COUNTER。各个部件对应的VHDL语言描述如下所示:

ALU:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY ALU IS

PORT(

A:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

S1,S0:IN STD_LOGIC;

BCDOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

CY,ZI:OUT STD_LOGIC

);

END ALU;

ARCHITECTURE A OF ALU IS

SIGNAL AA,BB,TEMP:STD_LOGIC_VECTOR(8 DOWNTO 0);

BEGIN

PROCESS(S1,S0)

BEGIN

IF(S1='0' AND S0='0')THEN

AA<='0'&A;

BB<='0'&B;

TEMP<=AA+BB;

BCDOUT<=TEMP(7 DOWNTO 0);

CY<=TEMP(8);

IF(TEMP="100000000"OR TEMP="000000000")THEN

ZI<='1';

ELSE

ZI<='0';

END IF;

ELSIF(S1='0' AND S0='1')THEN

BCDOUT<=A-B;

IF(A

CY<='1';

ZI<='0';

ELSIF(A=B)THEN

CY<='0';

ZI<='1';

ELSE

CY<='0';

ZI<='0';

END IF;

ELSIF(S1='1' AND S0='1')THEN

AA<='0'&A;

BB<='0'&B;

TEMP<=AA*BB;

BCDOUT<=TEMP(7 DOWNTO 0);

CY<=TEMP(8);

IF(TEMP="100000000"OR TEMP="000000000")THEN

ZI<='1';

ELSE

ZI<='0';

END IF;

ELSIF(S1='1' AND S0='0')THEN

AA<='0'&A;

TEMP<=AA+1;

BCDOUT<=TEMP(7 DOWNTO 0);

CY<=TEMP(8);

IF(TEMP="100000000"OR TEMP="000000000")THEN

ZI<='1';

ELSE

ZI<='0';

END IF;

ELSE

BCDOUT<="00000000";

CY<='0';

ZI<='0';

END IF;

END PROCESS;

END A;

状态条件寄存器LS74:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY LS74 IS

PORT(

LDFR:IN STD_LOGIC;

CY,ZI:IN STD_LOGIC;

FC,FZ:OUT STD_LOGIC

);

END LS74;

ARCHITECTURE A OF LS74 IS

BEGIN

PROCESS(LDFR)

BEGIN

IF(LDFR'EVENT AND LDFR='1')THEN

FC<=CY;

FZ<=ZI;

END IF;

END PROCESS;

END A;

暂存器、通用寄存器、地址寄存器LS273: LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY LS273 IS

PORT(

D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CLK:IN STD_LOGIC;

O:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)

);

END LS273;

ARCHITECTURE A OF LS273 IS

BEGIN

PROCESS(CLK)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN

O<=D;

END IF;

END PROCESS;

END A;

1:2分配器FEN2:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY FEN2 IS

PORT(

X:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

WR,LED_B:IN STD_LOGIC;

W1,W2:OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );

END FEN2;

ARCHITECTURE A OF FEN2 IS

BEGIN

PROCESS(LED_B,WR)

BEGIN

IF(LED_B='0' AND WR='0') THEN

W2<=X;

ELSE

W1<=X;

END IF;

END PROCESS;

END A;

3选1数据选择器MUX3:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX3 IS

PORT(

ID:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

SW_B,CS:IN STD_LOGIC;

N1,N2:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

EW:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)

);

END MUX3;

ARCHITECTURE A OF MUX3 IS

BEGIN

PROCESS(SW_B,CS)

BEGIN

IF(SW_B='0') THEN

EW<=ID;

ELSIF(CS='0')THEN

EW<=N2;

ELSE

EW<=N1;

END IF;

END PROCESS;

END A;

5选1数据选择器MUX5:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX5 IS

PORT(

C,D,E,F,G: IN STD_LOGIC;

X1,X2,X3,X4,x5: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

W: out STD_LOGIC_VECTOR(7 DOWNTO 0)

);

END MUX5;

ARCHITECTURE A OF MUX5 IS

SIGNAL SEL: STD_LOGIC_VECTOR(4 DOWNTO 0);

BEGIN

SEL<=G&F&E&D&C;

PROCESS(SEL)

BEGIN

IF(SEL="11110") THEN --R0_out

W<=X1;

ELSIF(SEL="11101") THEN --R1_out

W<=X2;

ELSIF(SEL="11011") THEN --R2-out

W<=X3;

ELSIF(SEL="10111") THEN --R3_out

W<=X4;

ELSIF(SEL="01111") THEN --ALU_out

W<=X5;

ELSE

null;

END IF;

END PROCESS;

END A;

程序计数器PC:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY PC IS

PORT(

load,LDPC,CLR: IN STD_LOGIC;

D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); O: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );

END PC;

ARCHITECTURE A OF PC IS

SIGNAL QOUT: STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN

PROCESS(LDPC,CLR,load)

BEGIN

IF(CLR='0') THEN

QOUT<="00000000";

ELSIF(LDPC'EVENT AND LDPC='1') THEN IF(load='0') THEN

QOUT<=D; --BUS->PC

ELSE

QOUT<=QOUT+1; --PC+1

END IF;

END IF;

END PROCESS;

O<=QOUT;

END A;

ROM芯片ROM16:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY ROM16 IS

PORT(

DOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

ADDR:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CS:IN STD_LOGIC

);

END ROM16;

ARCHITECTURE A OF ROM16 IS

BEGIN

DOUT<="10010010" WHEN ADDR="00000000" AND CS='0' ELSE -- "00000000" WHEN ADDR="00000001" AND CS='0' ELSE

"10000000" WHEN ADDR="00000010" AND CS='0' ELSE --

"10010011" WHEN ADDR="00000011" AND CS='0' ELSE --

"00000000" WHEN ADDR="00000100" AND CS='0' ELSE

"10100011" WHEN ADDR="00000101" AND CS='0' ELSE --

"10110000" WHEN ADDR="00000110" AND CS='0' ELSE

"00001010" WHEN ADDR="00000111" AND CS='0' ELSE --

"00010000" WHEN ADDR="00001000" AND CS='0' ELSE --

"11000001" WHEN ADDR="00001001" AND CS='0' ELSE

"11010010" WHEN ADDR="00001010" AND CS='0' ELSE --

"10010011" WHEN ADDR="00001011" AND CS='0' ELSE

"00000101" WHEN ADDR="00001100" AND CS='0' ELSE --

"10101011" WHEN ADDR="00001101" AND CS='0' ELSE --

"10110000" WHEN ADDR="00001110" AND CS='0' ELSE "00000010" WHEN ADDR="00001111" AND CS='0' ELSE

"11110100" WHEN ADDR="00010000" AND CS='0' ELSE

"00000000";

END A;

时序产生器COUNTER:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY COUNTER IS

PORT(

Q,CLR: IN STD_LOGIC;

T1, T2,T3,T4: OUT STD_LOGIC

);

END COUNTER;

ARCHITECTURE A OF COUNTER IS

SIGNAL X: STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

PROCESS(Q,CLR)

BEGIN

IF(CLR='0') THEN

T1<='0';

T2<='0';

T3<='0';

T4<='0';

X<="00";

ELSIF(Q'EVENT AND Q='1') THEN

X<=X+1;

T2<=(NOT X(1)) AND X(0);

T3<=X(1) AND (NOT X(0));

T4<=X(1) AND X(0);

END IF;

END PROCESS;

END A;

各个器件生成后,开始用自己设计的指令编写汇编程序,程序如下所示:

MOV R1,0 ;寄存器R1用来存放最后的结果

MOV R2,0 ;寄存器R2放了一个立即数0,用来做是否负数判读以及做输入是 ;否达到5次的比较标准

MOV R3,5 ;记录输入次数,从5开始递减

INPUT:

IN1 R0 ;寄存器R0用来存放输入的数据

DEC R3 ;每输入一次则R3递减1,递减到0说明刚好输入5个数据

CMP R0,R2 ;判断输入的数据是否是负数

JB L1 ;如果是负数,则转到标号L1执行

CMP R2,R3 ;如果不是负数,则判断输入是否够5次

JB INPUT ;若不够5次则跳转到INPUT

JMP L2 ;若够5次就跳转到L2执行

L1:

MUL R0,R0 ;负数球平方和

ADD R0,R1 ;求得的平方放入R1中

CMP R2,R3 ;比较是否够5次输入

计算机组成原理答辩论文

增加存储器宽度提高主存储器的性能 邹嘉欣 (哈尔滨理工大学软件学院) 摘要主存是存储层次中紧接着Cache下面的一个层次。主存是数据输入的目的地,也是数据输出的发源地,它既被用来满足Cache的请求,也被用作I/O接口。主存的性能主要用延迟和带宽来衡量。以往,Cache主要关心的是主存的延迟(它影响Cache的失效开销),而I/O则主要关心主存的带宽。随着第二级Cache的广泛使用,主存带宽对于Cache来说也变得重要了,这是因为第二级Cache的块大小较大的缘故。主存的性能指标主要看延迟和带宽。 关健词主存性能延迟带宽 To improve the performance of the main memory is a new idea Zou jiaxin (harbin university of science and technology software college) Abstract Memory is the memory hierarchy and a level below Cache. Memory is the destination of data input, is also the birthplace of output data, it can be used to satisfy the request of Cache, also can be used as I/O interface. The main performance is mainly used to measure the latency and bandwidth. In the past, Cache is primarily concerned with memory latency (failure overhead it affect Cache), while I/O is primarily concerned with the main memory bandwidth. With the wide use of second Cache, main memory bandwidth for Cache is also very important, this is because the second Cache block size larger. The performance index of main memory latency and bandwidth. Keywords memor cache delary blandwitch 0 引言 主存是计算机中重要的部件之一,它是与CPU进行沟通的桥梁。计算机中所有程序的运行都是在主存中进行的,因此内存的性能对计算机的影响非常大。主存(Memory)也被称为内存储器,其作用是用于暂时存放CPU中的运算数据,以及与硬盘等外部存储器交换的数据。只要计算机在运行中,CPU就会把需要运算的数据调到内存中进行运算,当运算完成后CPU再将结果传送出来,内存的运行也决定了计算机的稳定运行。主存如此重要,所以更快的存储速度1. 主存的主要性能指标:延迟和带宽2. 以往:Cache主要关心延迟,I/O主要关心带宽3.现在:Cache关心两者在下面的讨论中,我们以处理Cache失效为例来说明各种存储器组织结构的好处。在计算机的组成结构中,有一个很重要的部分,就是存储器。存储器是用来存储程序和数据的部件,对于计算机来说,有了存储器,才有记忆功能,才能保证正常工作。存储器的种类很多,按其用途可分为主存储器和辅助存储器,主存储器又称内纯初期(简称内存,港台称之为记忆体)。 内存又称主存,是cpu能直接存执的存储空间,由半导体器件制成。内存的特点是存取速率快。内存是计算机中的主要部件,它是相对于外存而言的。我们平常使用的程序,如windows操作系统、打字软件、游戏软件等,一般都是安装在硬盘等外存上的,但仅此是不能使用其功能的,必须把它们调入内存中运行,才能真正使用其功能,我们平时输入一段文字,或玩一个游戏,其实都是在内存中进行的。就好比在一个书房里,存放书籍的书架和书柜相当于电脑的外存,而我们工作的办公

基于PLC的啤酒发酵自动控制系统设计课程设计(论文)

辽宁工业大学PLC技术及应用课程设计(论文)题目:啤酒发酵过程中温度的PLC控制

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

计算机组成原理教学网站的设计与实现

计算机组成原理教学网站的设计与实现 本系统开发工具:ASP+ ACCESS 本设计包含内容:源代码+毕业论文+开题报告+任务书 文档页数:37页 文档字数:13,608字 摘要:本课题是专门为计算机组成原理课程开发的一个教学网站,针对这一特点,本文探讨了计算机组成原理教学网站的设计模式,并提出了技术上的解决方案。本网站前台采用Dreamweaver进行页面制作,后台由Access数据库支持,通过使用ASP技术实现动态网页的效果。 整个计算机组成原理教学网站包含课程描述、教师队伍、课程建设、在线学习、课程实践、下载频道、公告栏、留言板、和师生论坛九个功能模块,本文主要针对我设计的四个模块,即课程描述、教师队伍、师生论坛、留言板四个模块的设计与实现进行了论述。 通过使用本网站学习计算机组成原理,可以更方便、更灵活、更有效地掌握计算机组成原理课程的知识点、重点和难点,是有效使用互联网的一种体现,具有较高的实用价值。 关键词:教学网站,计算机组成原理,ASP,Access The Designs And Realizing Of The Teaching Website For The Course of Computer Orgaization Principles Abstract: This subject is a teaching website developed for the course of the Computer Organization Principles, to this characteristic, this text has probed into the design mode of collecting teaching websites and has proposed the solution on technology. This website’s front desk adopts Dreamweaver to carry on the page animation, the backstage is supported by Access database , through using the result of the dynamic webpage of ASP technology , reach the operation to the database in order to the request for the user. Procedure teaching websites of whole Computer Organization Principles includes nine parts: the course description,teacher ranks, construction of course, the online school, curriculum practice,bulletin board,download channel,web forum for teachers and students,message board.this text is mainly to talk about four parts of them,they are the ourse description,teacher ranks,web forum for teachers and students, message board. Using this website to study the Computer Organization Principles can grasp some of knowledge, focal point and difficult point of course of the Computer Organization Principles more convenient, more flexible and more effectively, it is a kind of embodiment using Internet effectively, have higher practical value. Keywords: Teaching websites, Computer Organization Principles, ASP, ACCESS

电子技术课程设计报告书

电子技术课程设计报告书 一、设计目的 理解红外线防盗系统的设计意义、设计思路、设计电路的原理框图以及系统的主要特点。 二、设计思路 我们知道,在常规的环境参数中,人体入侵探测器非常容易受环境的影响而使得参数改变,随着红外线及激光技术的成熟,许多运用于室外场合的红外线防盗和激光防盗开始诞生,由于光线是直线传输,在发射端发射光束,中间如无遮挡,则接收端就能收到正常的光束;当有人经过布防区域时,光束被挡住,此时接收端便无法接收到光束,从而启动报警电路工作,达到报警的目的。 本设计利用多谐振荡电路作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形后驱动数字门电路,输出报警信号,又经报警信号锁定电路,将报警信号进行锁定,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警,这些设计与实际运用中的要求相符,是一款价格低而实用性强的产品。从实际的效果来看,报警信号必带有锁存功能,即当有人进入设防区域后报警信号就被锁住即使人离开,报警也将继续,直到人为的按动复位键才停止报警。 三、设计过程 3.1、系统方案论处 触发源电路→→报警电路发声单元→→关报警信号电路,当在无警状态下没有报警声,灯也不亮,当触发源电路探测到报警信号时,喇叭立即报警,发出报警声,同时报警灯交替闪烁,交替周期为1——2秒,按下复位键时,相应功能停止。 3.2、模块电路设计 主要的系统电路有:电源电路,红外发射/接收电路,发射与接收控制电路,报警输出电路等。 下面对各个模块的电路进行详细的设计和分析。

关于计算机组成原理的课程论文

关于计算机组成原理的课程论文 试谈中专计算机组成原理教法 摘要计算机组成原理是计算机专业的一门基础核心专业基础课程,在该专业的课程 体系中起着打地基的作用,学好这门课程对于提高学生的理论认知水平和实践能力有着极 为重要的作用。本文从用简单的问题切如枯燥的学习知识;把抽象的知识具体化;通过学生 讲解来验证教学效果,三个方面的方法来讲解如何教授中专计算机组成原理这门课程。 关键词问题简单化知识具体化 计算机组成原理的教学内容强调以计算机硬件部件和和整机系统知识为主的同时,还 必需适当兼顾与硬件关系最密切的基础软件知识的学习。那种把计算机组成原理完全作为 纯硬件课来处理,是陈旧的认识和过时的做法,不符合当今计算机研究和教育发展的潮流。学好这门课的目的是为了了解计算机的构成及基本工作原理。学生应能抽象出一个计算机 模型,在此基础上,理解计算机是如何工作的。 但是计算机组成原理这门课专业性很强,很抽象,也很枯燥。而现在的中专学生基础差,缺乏学习主动性,学习新知识时理解能力也相对比较薄弱。本文针对中专学生的这一 特征,提出了怎样教授计算机组成原理这门课程。利用一种以培养学生的理解、联系实际 能力为核心的教学模式,并就该模式下的课堂理论教学、课后练习与辅导、教学效果检验 等三个环节进行详细的阐述。 一、用简单的问题切如枯燥的学习知识 在讲解十进制数与二进制数之间相互转换这个问题时,我并没有直接讲转换算法,而 是先提问了一个学生们都认为很简单,但是又回答不正确的问题,来引起学生们的学习兴趣,我提问的问题是:计算机用的是直流电还是交流电,对此问题,我让同学们进行讨论,并说出你回答的答案的依据,几乎所有的学生都认为,计算机用的是交流电。依据是计算 机的电源插在220V的交流电源上。我就告诉学生们你们的答案都是错的,计算机用的是 直流电,学生们很好奇,就急于想听我讲出这个答案的依据,这样我就引进了二进制数的 概念,告诉学生,计算机中所有的数值、文字、符号、语音、图形、图像等等统为称数据,在计算机内部,都必须用数字化编码基二码二进制编码的形式被存储、加工和传送。 并且讲解了二进制码的基本点符号0和1。采用0和1的优点是:符号个数最少物理 上容易实现;与二值逻辑的真假两个值的对应简单;用二进制码表示数值数据运算规则简单。然而在我们的现实生活中所用的数值都是十进制数,那么接着就讲了十进制数如何转换成 二进制数。十进制数分为整数和小数。对于十进制的整数转换成二进制数的法则是:除以 2取余。对于十进制的小数转换成二进制的法则是:乘以2取整。相反二进制数如何转换

自动控制原理课程设计报告

自控课程设计课程设计(论文) 设计(论文)题目单位反馈系统中传递函数的研究 学院名称Z Z Z Z学院 专业名称Z Z Z Z Z 学生姓名Z Z Z 学生学号Z Z Z Z Z Z Z Z Z Z 任课教师Z Z Z Z Z 设计(论文)成绩

单位反馈系统中传递函数的研究 一、设计题目 设单位反馈系统被控对象的传递函数为 ) 2)(1()(0 0++= s s s K s G (ksm7) 1、画出未校正系统的根轨迹图,分析系统是否稳定。 2、对系统进行串联校正,要求校正后的系统满足指标: (1)在单位斜坡信号输入下,系统的速度误差系数=10。 (2)相角稳定裕度γ>45o , 幅值稳定裕度H>12。 (3)系统对阶跃响应的超调量Mp <25%,系统的调节时间Ts<15s 3、分别画出校正前,校正后和校正装置的幅频特性图。 4、给出校正装置的传递函数。计算校正后系统的截止频率Wc 和穿频率Wx 。 5、分别画出系统校正前、后的开环系统的奈奎斯特图,并进行分析。 6、在SIMULINK 中建立系统的仿真模型,在前向通道中分别接入饱和非线性环节和回环非线性环节,观察分析非线性环节对系统性能的影响。 7、应用所学的知识分析校正器对系统性能的影响(自由发挥)。 二、设计方法 1、未校正系统的根轨迹图分析 根轨迹简称根迹,它是开环系统某一参数从0变为无穷时,闭环系统特征方程式的根在s 平面上变化的轨迹。 1)、确定根轨迹起点和终点。 根轨迹起于开环极点,终于开环零点;本题中无零点,极点为:0、-1、-2 。故起于0、-1、-2,终于无穷处。 2)、确定分支数。 根轨迹分支数与开环有限零点数m 和有限极点数n 中大者相等,连续并且对称于实轴;本题中分支数为3条。

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

模拟电子技术的课程设计报告

目录 1 课程设计的目的与作用 (1) 1.1课程设计的目的 (1) 1.2课程设计的作用 (1) 2 设计任务、及所用multisim软件环境介绍 (1) 2.1设计任务:电压串联负反馈放大电路频率响应 (1) 2.2 Multisim软件环境介绍 (1) 2.3 Multisim软件界面介绍 (2) 3 电压串联负反馈放大电路模型的建立 (2) 4电压串联负反馈放大电路频率响应理论分析及计算 (2) 5仿真结果分析 (2) 6设计总结和体会 (2) 7参考文献 (2)

1 课程设计的目的与作用 1.1课程设计的目的 学习电压串联负反馈电路,掌握其电路工作原理。通过对它的学习,能够学会对其中频电压放大倍数,对电压串联负反馈放大电路的频率响应进行分析,利用Multisim软件对其进行仿真实现,对其进行交流分析,记录图形和数据;培养学生动手操作能力,分析能力,切实提高学生综合能力。 1.2课程设计的作用 本课题的研究意义在于,通过使用Multisim软件实现电压串联负反馈放大电路的频率响应分析,从而进一步巩固《模拟电子技术基础》知识,学习使用Multisim软件等的相关专业知识。本文先对设计和仿真电路的方法进行简单介绍,然后画出电压串联负反馈放大电路的电路图,并对其进行频率响应的测试,然后得出结论。 2 设计任务、及所用multisim软件环境介绍 2.1设计任务:电压串联负反馈放大电路频率响应 画出电压串联负反馈放大电路图,对电压串联负反馈放大电路使用Multisim进行频率响应分析,要求熟练掌握Multisim软件的使用和仿真方法,写出实际实现过程,得出结论 2.2 Multisim软件环境介绍 Multisim是美国国家仪器(NI)推出的以Windows为基础的仿真工具,适用于板级的

计算机组成原理论文cpu

计算机组成原理论文 CPU运行原理 学生姓名:李维隆 学生学号:200925503223 班级院系:计算机学院软件工程计093-2 指导老师:潘庆先

中央处理器(英语:Central Processing Unit,CPU),是电子计算机的主要设备之一。其功能主要是解释计算机指令以及处理计算机软件中的数据。所谓计算机的可编程性主要是指对CPU的编程。CPU、内部存储器和输入/输出设备是现代电脑的三大核心部件。由集成电路制造的CPU,20世纪70年代以前,本来是由多个独立单元构成,后来发展出微处理器CPU复杂的电路可以做成单一微小功能强大的单元。 “中央处理器”这个名称,笼统地说,是对一系列可以执行复杂的计算机程序的逻辑机器的描述。这个空泛的定义很容易地将在“CPU”这个名称被普遍使用,之前的早期计算机也包括在内。无论如何,至少从20世纪60年代早期开始(Weik 1961),这个名称及其缩写已开始在电子计算机产业中得到广泛应用。尽管与早期相比,“中央处理器”在物理形态、设计制造和具体任务的执行上有了戏剧性的发展,但是其基本的操作原理一直没有改变。 早期的中央处理器通常是为大型及特定应用的计算机而定制。但是,这种昂贵的为特定应用定制CPU的方法很大程度上已经让位于开发便宜、标准化、适用于一个或多个目的的处理器类。这个标准化趋势始于由单个晶体管组成的大型机和微机年代,随着集成电路的出现而加速。IC使得更为复杂的CPU可以在很小的空间中设计和制造(在微米的量级)。CPU 的标准化和小型化都使得这一类数字设备(港译-电子零件)在现代生活中的出现频率远远超过有限应用专用的计算机。现代微处理器出现在包括从汽车到手机到儿童玩具在内的各种物品中。 CPU的主要运作原理,不论其外观,都是执行储存于被称为程序里的一系列指令。在此讨论的是遵循普遍的冯·诺伊曼结构(von Neumann architecture)设计的装置。程序以一系列数字储存在计算机存储器中。差不多所有的冯·诺伊曼CPU的运作原理可分为四个阶段:提取、解码、执行和写回。 第一阶段,提取,从程序存储器中检索指令(为数值或一系列数值)。由程序计数器指定程序存储器的位置,程序计数器保存供识别目前程序位置的数值。换言之,程序计数器记录了CPU在目前程序里的踪迹。提取指令之后,PC根据指令式长度增加存储器单元[iwordlength]。指令的提取常常必须从相对较慢的存储器查找,导致CPU等候指令的送入。这个问题主要被论及在现代处理器的高速缓存和管线化架构。 CPU根据从存储器提取到的指令来决定其执行行为。在解码阶段,指令被拆解为有意义的片断。根据CPU的指令集架构(ISA)定义将数值解译为指令[isa]。一部分的指令数值为运算码,其指示要进行哪些运算。其它的数值通常供给指令必要的信息,诸如一个加法运算的运算目标。这样的运算目标也许提供一个常数值(即立即值),或是一个空间的寻址值:暂存器或存储器地址,以寻址模式决定。在旧的设计中,CPU里的指令解码部分是无法改变的硬体装置。不过在众多抽象且复杂的CPU和ISA中,一个微程序时常用来帮助转换指令为各种形态的讯号。这些微程序在已成品的CPU中往往可以重写,方便变更解码指令。 在提取和解码阶段之后,接着进入执行阶段。该阶段中,连接到各种能够进行所需运算的CPU部件。例如,要求一个加法运算,算术逻辑单元将会连接到一组输入和一组输出。输入提供了要相加的数值,而且在输出将含有总和结果。ALU内含电路系统,以于输出端完成简单的普通运算和逻辑运算(比如加法和位运算)。如果加法运算产生一个对该CPU处理而言过大的结果,在标志暂存器里,溢出标志可能会被设置。 最终阶段,写回,以一定格式将执行阶段的结果简单的写回。运算结果极常被写进CPU 内部的暂存器,以供随后指令快速访问。在其它案例中,运算结果可能写进速度较慢,但容量较大且较便宜的主存。某些类型的指令会操作程序计数器,而不直接产生结果数据。这些一般称作“跳转”并在程序中带来循环行为、条件性执行(透过条件跳转)和函数[jumps]。许多指令也会改变标志暂存器的状态位。这些标志可用来影响程序行为,缘由于它们时常显出各种运算结果。例如,以一个“比较”指令判断两个值的大小,根据比较结果在标志暂存

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

组成原理论文

计算机组成原理与汇编语言课程设计说明书题目:直接逻辑运算流程 学院(系): 专业班级: 学号: 学生姓名: 指导教师: 教师职称: 起止时间:

(论文)任务书 院(系):电子与信息工程学院教研室:学号学生姓名专业班级设计题目直接逻辑运算流程 设计技术参数1.TEC-4计算机组成原理实验系统2.双踪示波器 3.直流万用表 4.逻辑测试笔 课设要求1.上机前预先把所有的源程序编写好。 2.上机时不得迟到,不得缺席,爱护设备,认真调试程序。 3.仔细查阅相关资料,认真完成思考题。 4.按要求书写设计任务书,并按要求按版打印,不得雷同。 工作量一周 工作计划周一听取教师布置设计的任务及要求。 周二学生查阅相关资料,进行数据库设计。周三至周五:详细设计与界面设计。 周一至周四程序设计与上机,调试源程序。周五书写课程设计任务书。 指导教师 评语 成绩:指导教师签字: 年月日说明:此表一式四份,学生、指导教师、教研室、系部各一份。可加附页。

目录 第一章课程设计简介 (3) 第二章数据通路设计 (5) 2.1运算器ALU (5) 2.2存储器 (5) 2.3控制存储器 (7) 2.4数据通路总体图 (8) 2.5综合设计 (10) 第三章测试与调试 (11) 第四章总结 (12) 参考文献: (13)

第一章课程设计简介 课程设计题目:直接逻辑运算流程 目的: 1、将组成原理中的运算器设计与储存器设计相结合,组成一台模型计算机; 2、用手动方法控制模型及数据通路; 3、通过CPU进行加法、减法、乘法、逻辑运算、直通等运算,牢固建立计算机的整机概念; 4、进一步熟悉计算机的数据通路;掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方法; 5、锻炼分析问题与解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障。 原理: 本次课程设计可以使用计算机组成原理实验中的电路,包括运算器、存储器、通用寄存器堆等,将几个模板组合成为一台简单计算机。 在本次课程设计中,实验者本身作为“控制器”,完成数据通路的控制。CPU从内存取出操作数一条到相应运算执行结束的一个机器指令周期,是由实验者本身完成的。 设备: 1)TEC-4计算机组成原理试验系统一台 2)双踪示波器一台 3)只留万能表一只 4)逻辑测试笔一支 课程设计任务: ①将课程设计所涉及的电路与控制台的有关信号进行线路连接。 ②用8位数据开关向RF中的四个通用寄存器分别置入以下数据:RO=OFH,R1=OFOH,R2=55H,R3=0AAH. 给R0置入0FH的步骤是:先用8位数码开关sw0—sw7将0FH置入ER,并且选择WR1=0、WR0=0、WRD=1,再将ER的数据置入RF。给其他的通用寄存器置入数据的步骤与此类似。 ③分别将R0至R3中的数据同时读入到DR2寄存器中和DBUS上,观察其数据是否是存入R0至R3中的数据,并记录数据。其中DBUS上的数据可直接用指示灯显示,

《电工电子技术》课程设计报告书 (1)

武汉理工大学华夏学院 信息工程课程设计报告书 课程名称电工电子技术 课程设计总评成绩 学生姓名、学号 学生专业班级 指导教师姓名 课程设计起止日期2015.6.22~2015.7.3

课程设计基本要求 课程设计是工科学生十分重要的实践教学环节,通过课程设计,培养学生综合运用先修课程的理论知识和专业技能,解决工程领域某一方面实际问题的能力。课程设计报告是科学论文写作的基础,不仅可以培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,也是规范课程设计教学要求、反映课程设计教学水平的重要依据。为了加强课程设计教学管理,提高课程设计教学质量,特拟定如下基本要求。 1. 课程设计教学一般可分为设计项目的选题、项目设计方案论证、项目设计结果分析、答辩等4个环节,每个环节都应有一定的考核要求和考核成绩。 2. 课程设计项目的选题要符合本课程设计教学大纲的要求,该项目应能突出学生实践能力、设计能力和创新能力的培养;该项目有一定的实用性,且学生通过努力在规定的时间内是可以完成的。课程设计项目名称、目的及技术要求记录于课程设计报告书一、二项中,课程设计项目的选题考核成绩占10%左右。 3. 项目设计方案论证主要包括可行性设计方案论证、从可行性方案中确定最佳方案,实施最佳方案的软件程序、硬件电路原理图和PCB图。项目设计方案论证内容记录于课程设计报告书第三项中,项目设计方案论证主要考核设计方案的正确性、可行性和创新性,考核成绩占30%左右。 4. 项目设计结果分析主要包括项目设计与制作结果的工艺水平,项目测试性能指标的正确性和完整性,项目测试中出现故障或错误原因的分析和处理方法。项目设计结果分析记录于课程设计报告书第四项中,考核成绩占25%左右。 5. 学生在课程设计过程中应认真阅读与本课程设计项目相关的文献,培养自己的阅读兴趣和习惯,借以启发自己的思维,提高综合分和理解能力。文献阅读摘要记录于课程设计报告书第五项中,考核成绩占10%左右。 6. 答辩是课程设计中十分重要的环节,由课程设计指导教师向答辩学生提出2~3个问题,通过答辩可进一步了解学生对课程设计中理论知识和实际技能掌握的程度,以及对问题的理解、分析和判断能力。答辩考核成绩占25%左右。 7.学生应在课程设计周内认真参加项目设计的各个环节,按时完成课程设计报告书交给课程设计指导教师评阅。课程设计指导教师应认真指导学生课程设计全过程,认真评阅学生的每一份课程设计报告,给出课程设计综合评阅意见和每一个环节的评分成绩(百分制),最后将百分制评分成绩转换为五级分制(优秀、良好、中等、及格、不及格)总评成绩。 8. 课程设计报告书是实践教学水平评估的重要资料,应按课程、班级集成存档交实验室统一管理。

计算机组成原理论文(学校交作业时候可以用)

《计算机组成原理》论文 --基于专业规范的 “计算机组成原理”课程改革 指导教师 XXX 作者X X X 学号 20084XXXXX 院系/年级师范学院2008级XXXX系

基于专业规范的“计算机组成原理”课程改革 摘要:以教育部计算机科学与技术专业教学指导委员会的专业规范为指导,针对计算机组成原理课程的特点,从以专业规范为基础优化教学内容、改进教学方法和丰富教学手段等方面进行了探讨和实践。分析实验教学现状,指出存在的问题,提出通过改编实验设计,加强实验教学过程指导,提高实验教学效果。以专业规范为指导,从理论教学和实验教学两方面为“计算机组成原理”课程改革提出了新的建议。 关键词:专业规范;计算机组成原理;课程改革;理论教学;实验教学 随着计算机和通信技术的蓬勃发展,中国开始进入信息化时代,计算机及技术的应用更加广泛深入,计算机学科传统的专业优势已经不再存在。社会和应用对学生在计算机领域的知识与能力提出了新的要求。专家们指出,未来10~15 年是我国信息技术发展的窗口期、关键期。为此,高等学校肩负着为国家发展和满足社会需求培养多类型人才的重任。在这样的背景下,高校必须正视问题,积极思索与变革,重新审视计算机专业教育的发展方向,与时俱进地推进计算机专业教育改革。 《计算机组成原理》是计算机科学与技术专业必修的一门专业主干课程。课程要求掌握计算机系统各部件的组成和工作原理、相互联系和作用,最终达到从系统、整机的角度理解计算机的结构与组成,并为后续课程的学习奠定基础。但从整个学科的建设和发展,以及对学生专业素质培养的角度来看,这样的要求是不够的。更为重要的是,通过教与学,还应当提高学生对计算机硬件系统的认知能力和设计能力,强化实践意识与能力,培养创新理念与能力,激发学生自主学习、主动探索前沿知识。教育部计算机科学与技术专业教学指导委员会在2006 年发布了计算机科学与技术本科专业战略报告和专业规范,对计算机专业的发展与教学提出了指导意见。本文探讨以专业规范为指导对计算机组成原理课程进行改革,研究并实践一种有效的教学模式,帮助学生从微观层面掌握本课程知识单元,从宏观层面建立该课程知识体系,使学生准确把握课程的核心内容,全面地构建整机系统,进而培养学生的专业素养和综合能力。 1 计算机科学与技术专业规范 教育部高等学校计算机科学与技术专业教学指导委员会(以下简称教指委)在广泛深入的调查研究基础上,借鉴国际上计算机专业办学的发展与现状,结合我国计算机教育的实际情况,对计算机专业本科教育的发展方向和办学单位的专业发展提出了指导性意见,并制定了具体的《专业规范》。 教指委在计算机科学与技术专业发展战略研究报告和专业规范中提出了以“培养规格分类”为核心思想的计算机专业发展建议,将计算机学科分为三种类型四个方向,即:科学型(计算机科学方向CS)、工程型(包括计算机工程方向CE 和软件工程方向SE)、应用型(信息技术方向IT)[1]。针对每个类型的每个方向的特点和要求,专业规范从学科方法论、培养目标与规格、教育内容和知识体系等方面进行了详细的规划,提出了富有建设性的指导意见。 专业规范中明确指出,“计算机组成基础”是计算机科学方向和计算机工程方向的核心

自动控制设计(自动控制原理课程设计)

自动控制原理课程设计 本课程设计的目的着重于自动控制基本原理与设计方法的综合实际应用。主要内容包括:古典自动控制理论(PID )设计、现代控制理论状态观测器的设计、自动控制MATLAB 仿真。通过本课程设计的实践,掌握自动控制理论工程设计的基本方法和工具。 1 内容 某生产过程设备如图1所示,由液容为C1和C2的两个液箱组成,图中Q 为稳态液体流量)/(3s m ,i Q ?为液箱A 输入水流量对稳态值的微小变化)/(3s m ,1Q ?为液箱A 到液箱B 流量对稳态值的微小变化)/(3s m ,2Q ?为液箱B 输出水流量对稳态值的微小变化)/(3s m ,1h 为液箱A 的液位稳态值)(m ,1h ?为液箱A 液面高度对其稳态值的微小变化)(m ,2h 为液箱B 的液位稳态值)(m ,2h ?为液箱B 液面高度对其稳态值的微小变化)(m ,21,R R 分别为A ,B 两液槽的出水管液阻))//((3s m m 。设u 为调节阀开度)(2m 。 已知液箱A 液位不可直接测量但可观,液箱B 液位可直接测量。 图1 某生产过程示意图

要求 1. 建立上述系统的数学模型; 2. 对模型特性进行分析,时域指标计算,绘出bode,乃示图,阶跃反应曲线 3. 对B 容器的液位分别设计:P ,PI ,PD ,PID 控制器进行控制; 4. 对原系统进行极点配置,将极点配置在-1+j 和-1-j ;(极点可以不一样) 5. 设计一观测器,对液箱A 的液位进行观测(此处可以不带极点配置); 6. 如果要实现液位h2的控制,可采用什么方法,怎么更加有效?试之。 用MATLAB 对上述设计分别进行仿真。 (提示:流量Q=液位h/液阻R ,液箱的液容为液箱的横断面积,液阻R=液面差变化h ?/流量变化Q ?。) 2 双容液位对象的数学模型的建立及MATLAB 仿真过程 一、对系统数学建模 如图一所示,被控参数2h ?的动态方程可由下面几个关系式导出: 液箱A :dt h d C Q Q i 111?=?-? 液箱B :dt h d C Q Q 22 21?=?-? 111/Q h R ??= 222/Q h R ??= u K Q u i ?=? 消去中间变量,可得: u K h dt h d T T dt h d T T ?=?+?++?222122221)( 式中,21,C C ——两液槽的容量系数 21,R R ——两液槽的出水端阻力 111C R T =——第一个容积的时间常数 222C R T =——第二个容积的时间常数 2R K K u =_双容对象的放大系数

电子技术课程设计报告-交通灯

武汉工程大学 电气信息学院 电子技术课程设计报告 设计名称:交通灯 设计题目:交通灯 学生学号:1404200223 专业班级:电气类02班 学生姓名:孙鑫 学生成绩: 指导教师:戴璐平 课题工作时间:至

目录 摘要...................................................................................................II Abstract................................................................................................ II 第一章课题背景(或绪论、概述).. (1) 1.1 设计背景 (1) 1.2 设计目的 (1) 1.3 设计意义 (2) 1.4 本章小结 (2) 第二章设计简介及设计方案论述 (3) 2.1 设计简介 (3) 2.2 设计方案论述 (4) 2.3 本章小结 (5) 第三章详细设计 (6) 3.1秒脉冲发射器电路 (6) 3.2定时器电路 (7) 3.3控制器电路 (9) 3.4译码显示电路 (9) 3.5本章小结 (11) 第四章设计结果及分析 (12) 4.1 总体设计结果 (12) 4.2 总体设计分析 (14) 4.3 电路检验 (15) 4.4 本章小结 (15) 第五章电路仿真 (16) 总结 (18) 致谢 (20) 参考文献 (21) 附录主要芯片使用 (22)

摘要 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口四组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础,同时引入了电子设计自动化技术,将模拟信号转化为数字信号,利用了数字逻辑这一强大工具,同时还运用了Multisim软件来设计了可控制的交通信号灯。 关键词:交通灯,设计,电路仿真 Abstract The emergence of traffic lights so that traffic can be effective control, for ease traffic flow, improve the road capacity, the effect of significantly reducing traffic accidents. Through the use of digital circuitry on the traffic lights control circuit design, to enable the traffic lights control circuit at a crossroads with two sets of automatic control of digital signal red, yellow and green traffic lights approach the status of the conversion, command a variety of vehicles and pedestrians safe passage to achieve automated traffic management at the crossroads. Therefore, in the course design, the traditional design methods will be based at the same time the introduction of electronic design automation technology, the analog signal into a digital signal, use this powerful tool for digital logic, At the same time also used the Multisim software and some digital logic devices, to design that can be controlled by traffic lights. Keywords:Traffic lights, design, circuit simulation

相关主题
文本预览
相关文档 最新文档