当前位置:文档之家› DAC0832中文资料

DAC0832中文资料

DAC0832中文资料
DAC0832中文资料

DAC0832引脚功能电路应用原理图

DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图:

D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。

DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。

dac0832应用电路图

dac0832应用电路图:

DAC0832引脚功能说明:

DI0~DI7:数据输入线,TLL电平。

ILE:数据锁存允许控制信号输入线,高电平有效。

CS:片选信号输入线,低电平有效。

WR1:为输入寄存器的写选通信号。

XFER:数据传送控制信号输入线,低电平有效。

WR2:为DAC寄存器写选通输入线。

Iout1:电流输出线。当输入全为1时Iout1最大。

Iout2: 电流输出线。其值与Iout1之和为一常数。

Rfb:反馈信号输入线,芯片内部有反馈电阻.

Vcc:电源输入线 (+5v~+15v)

Vref:基准电压输入线 (-10v~+10v)

AGND:模拟地,摸拟信号和基准电源的参考地.

DGND:数字地,两种地线在基准电源处共地

比较好.

采用ADC0809实现A/D转换。

(一)D/A转换器DAC0832

DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为:

图4-82

由上式可见,输出的模拟量与输入的数字

量()成正比,这就实现了从数字量到模拟量的转换。

一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端。输入可有28=256个不同的二

进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。图4-83是DAC0832的逻辑框图和引脚排列。

图4-83

D0~D7:数字信号输入端。

ILE:输入寄存器允许,高电平有效。CS:片选信号,低电平有效。

WR1:写信号1,低电平有效。

XFER:传送控制信号,低电平有效。

WR2:写信号2,低电平有效。

IOUT1、IOUT2:DAC电流输出端。

Rfb:是集成在片内的外接运放的反馈电阻。Vref:基准电压(-10~10V)。

Vcc:是源电压(+5~+15V)。

AGND:模拟地NGND:数字地,可与AG ND接在一起使用。

DAC0832输出的是电流,一般要求输出是电压,所以还必须经过一个外接的运算放大器转换成电压。实验线路如图4-84所示。

图4-85

IN0~IN7:8路模拟信号输入端。

A1、A2、A0 :地址输入端。ALE地址锁存允许输入信号,在此脚施加正脉冲,上升沿

有效,此时锁存地址码,从而选通相应的模拟信号通道,以便进行A/D转换。START:启动信号输入端,应在此脚施加正脉冲,当上升沿到达时,内部逐次逼近寄存器复位,在下降沿到达后,开始A/D转换过程。

EOC:转换结束输出信号(转换接受标志),高电平有效。

OE:输入允许信号,高电平有效。CLOCK(CP):时钟信号输入端,外接时钟频率一般为640kHz。

Vcc:+5V单电源供电。、

Vref(+),Vref(-):基准电压的正极、负极。一般Vref(+)接+5V电源,Vref(-)接地。

D7~D0:数字信号输出端。由A2、A1、A 0三地址输入端选通8路模拟信号中的任何一路进行A/D转换。

第10章模拟接口

10.3 数/模(D/A)转换器

D/A转换器是接收数字量,输出一个与数字量相对应的电流或电压信号的模拟量接口。

D/A转换器被广泛用于计算机函数发生器、计算机图形显示以及与A/D转换器相配合的控制系统等。

10.3.1 D/A转换原理

数字量的值是由每一位的数字权叠加而得的。

D/A转换器品种繁多,有权电阻DAC、变形权电阻DAC、T型电阻DAC、电容型DAC和权电流DAC等。

为了掌握数/模转换原理,必须先了解运算放大器和电阻译码网络的工作原理和特点。

1. 运算放大器

运算放大器有三个特点:

⑴开环放大倍数非常高,一般为几千,甚至可高达10万。在正常情况下,运算放大器所需要的输入电压非常小。

⑵输入阻抗非常大。运算放大器工作时,输入端相当于一个很小的电压加在一个很大的输入阻抗上,所需要的输入电流也极小。

⑶输出阻抗很小,所以,它的驱动能力非常大。

2.由电阻网络和运算放大器构成的D/A 转换器

利用运算放大器各输入电流相加的原理,可以构成如图10.7所示的、由电阻网络和运算放大器组成的、最简单的4位D/A转换器。图中,V0是一个有足够精度的标准电源。运算放大器输入端的各支路对应待转换资料的D0,D1,…,D n-1位。各输入支路中的开关由对应的数字元值控制,如果数字元为1,则对应的开关闭合;如果数字为0,则对应的开关断开。各输入支路中的电阻分别为R,2R,4R,…这些电阻称为权电阻。

假设,输入端有4条支路。4条支路的开关从全部断开到全部闭合,运算放大器可以得到16种不同的电流输入。这就是说,通过电阻网络,可以把0000B~1111B转换成

大小不等的电流,从而可以在运算放大器的输出端得到相应大小不同的电压。如果数字0000B每次增1,一直变化到1111B,那么,在输出端就可得到一个0~V0电压幅度的阶梯波形。

3.采用T型电阻网络的D/A转换器

从图10.7可以看出,在D/A转换中采用独立的权电阻网络,对于一个8位二进制数的D/A转换器,就需要R,2R,4R,…,128R共8个不等的电阻,最大电阻阻值是最小电阻阻值的128倍,而且对这些电阻的精度要求比较高。如果这样的话,从工艺上实现起来是很困难的。所以,n个如此独立输入支路的方案是不实用的。

在DAC电路结构中,最简单而实用的是采用T型电阻网络来代替单一的权电阻网络,整个电阻网络只需要R和2R两种电阻。在集成电路中,由于所有的组件都做在同一芯片上,电阻的特性可以做得很相近,而且精度与误差问题也可以得到解决。

图10.8是采用T型电阻网络的4位D/A 转换器。4位元待转换资料分别控制4条支路中开关的倒向。在每一条支路中,如果(资料为0)开头倒向左边,支路中的电阻就接到地;如果(资料为1)开关倒向右边,电阻就接到虚地。所以,不管开关倒向哪一边,都可以认为是接“地”。不过,只有开关倒向右边时,才能给运算放大器输入端提供电流。

T型电阻网络中,节点A的左边为两个2R的电阻并联,它们的等效电阻为R,节点B的左边也是两个2R的电阻并联,它们的等效电阻也是R,…,依次类推,最后在D 点等效于一个数值为R的电阻接在参考电压V REF上。这样,就很容易算出,C点、B 点、A点的电位分别为-V REF/2,-V REF/4,

-V REF/8。

在清楚了电阻网络的特点和各节点的电压之后,再来分析一下各支路的电流值。开关S3,S2,S1,S0分别代表对应的1位二进制数。任一资料位D i=1,表示开关S i倒向右边;D i=0,表示开关S i倒向左边,接虚地,无电流。当右边第一条支路的开关S3倒向右边时,运算放大器得到的输入电流为-V REF/(2R),同理,开关S2,S1,S0倒向右边时,输入电流分别为-V REF/(4R),-V REF/(8R),-V REF/(16R)。

如果一个二进制数据为1111,运算放大器的输入电流

I=-V REF/(2R)-V REF/(4R)-V REF/(8R)

-V REF/(16R)

=-V REF/(2R)(20+2-1+2-2+2-3)

=-V REF/(24R)(23+22+21+20)

相应的输出电压

V0=IR0=-V REF R0(24R)(23+22+21+20)

将资料推广到n位,输出模拟量与输入数字量之间关系的一般表达式为:

V0=-V REF R0/(2n R)(D n-12n-1+D n-2 2n-2+…+D121+D020)(D i=1或0)

上式表明,输出电压V0除了和待转换的二进制数成比例外,还和网络电阻R、运算放大器反馈电阻R0、标准参考电压VREF有关。

10.3.2 D/A转换器性能参数

在实现D/A转换时,主要涉及下面几个性能参数。

⑴分辨率。分辨率是指最小输出电压(对应于输入数字量最低位增1所引起的输出电压增量)和最大输出电压(对应于输入数字量所有有效位全为1时的输出电压)之比,

例如,4位DAC的分辨率为1/(24-1)=1/15=6.67%(分辨率也常用百分比来表示)。8位DAC的分辨率为1/255=0.39%。显然,位数越多,分辨率越高。

⑵转换精度。如果不考虑D/A转换的误差,DAC转换精度就是分辨率的大小,因此,要获得高精度的D/A转换结果,首先要选择有足够高分辨率的DAC。

D/A转换精度分为绝对和相对转换精度,一般是用误差大小表示。DAC的转换误差包括零点误差、漂移误差、增益误差、噪声和线性误差、微分线性误差等综合误差。

绝对转换精度是指满刻度数字量输入时,模拟量输出接近理论值的程度。它和标准电源的精度、权电阻的精度有关。相对转换精度指在满刻度已经校准的前提下,整个刻度范围内,对应任一模拟量的输出与它的理论值之差。它反映了DAC的线性度。通常,相对转换精度比绝对转换精度更有实用性。

相对转换精度一般用绝对转换精度相

对于满量程输出的百分数来表示,有时也用最低位(LSB)的几分之几表示。例如,设V FS为满量程输出电压5V,n位DAC的相对转换精度为±0.1%,则最大误差为±0.1%V FS=±5mV;若相对转换精度为±1/2LSB,LSB=1/2n,则最大相对误差为±1/2n+1V FS。

⑶非线性误差。D/A转换器的非线性误差定义为实际转换特性曲线与理想特性曲线之间的最大偏差,并以该偏差相对于满量程的百分数度量。转换器电路设计一般要求非线性误差不大于±1/2LSB。

⑷转换速率/建立时间。转换速率实际是由建立时间来反映的。建立时间是指数字量为满刻度值(各位全为1)时,DAC的模拟输出电压达到某个规定值(比如,90%满量程或±1/2LSB满量程)时所需要的时间。

建立时间是D/A转换速率快慢的一个重要参数。很显然,建立时间越大,转换速率越低。不同型号DAC的建立时间一般从几个毫微秒到几个微秒不等。若输出形式是电流,DAC的建立时间是很短的;若输出形

式是电压,DAC的建立时间主要是输出运算放大器所需要的响应时间。

10.3.3 DAC0832及接口电路

DAC0832是美国资料公司研制的8位双缓冲器D/A转换器。芯片内带有资料锁存器,可与数据总线直接相连。电路有极好的温度跟随性,使用了COMS电流开关和控制逻辑而获得低功耗、低输出的泄漏电流误差。芯片采用R-2RT型电阻网络,对参考电流进行分流完成D/A转换。转换结果以一组差动电流I OUT1和I OUT2输出。

DAC0832主要性能参数:①分辨率8位;②转换时间1μs;③参考电压±10V;

④单电源+5V~+15v;⑤功耗20mW。

1.DAC0832的结构

DAC0832的内部结构如图10.9所示。DAC0832中有两级锁存器,第一级锁存器称为输入寄存器,它的锁存信号为ILE;第二级锁存器称为DAC寄存器,它的锁存信号为传输控制信号XFER。因为有两级锁存器,DAC0832可以工作在双缓冲器方式,即在输出模拟信号的同时采集下一个数字量,这样能有效地提高转换速度。此外,两级锁存器还可以在多个D/A转换器同时工作时,利用第二级锁存信号来实现多个转换器同步输出。

图10.9中LE为高电平、CS和1WR为低电

平时,1LE为高电平,输入寄存器的输出跟随输入而变化;此后,当1WR由低变高时,1LE为低电平,资料被锁存到输入寄存器中,这时

的输入寄存器的输出端不再跟随输入资料

的变化而变化。对第二级锁存器来说,XFER和WR同时为低电平时,2LE为高电平,DAC寄2

存器的输出跟随其输入而变化;此后,当2WR

由低变高时,2LE变为低电平,将输入寄存器的资料锁存到DAC寄存器中。

2. DAC0832的引脚特性

DAC0832是20引脚的双列直插式芯片。各引脚的特性如下:

CS——片选信号,和允许锁存信号ILE 组合来决定1WR是否起作用。

ILE——允许锁存信号。

WR——写信号1,作为第一级锁存信号,1

将输入资料锁存到输入寄存器(此时,1WR必须和CS、ILE同时有效)。

WR——写信号2,将锁存在输入寄存器2

中的资料送到DAC寄存器中进行锁存(此

时,传输控制信号XFER必须有效)。

XFER——传输控制信号,用来控制2WR。

DI7~DI0——8位数据输入端。

I OUT1——模拟电流输出端1。当DAC 寄存器中全为1时,输出电流最大,当DAC 寄存器中全为0时,输出电流为0。

I OUT2——模拟电流输出端2。

I OUT1+I OUT2=常数。

R FB——反馈电阻引出端。DAC0832内部已经有反馈电阻,所以,R FB端可以直接接到外部运算放大器的输出端。相当于将反馈电阻接在运算放大器的输入端和输出端之间。

V REF——参考电压输入端。可接电压范围为±10V。外部标准电压通过V REF与T型电阻网络相连。

V CC——芯片供电电压端。范围为+5V~+15V,最佳工作状态是+15V。

AGND——模拟地,即模拟电路接地端。

DGND——数字地,即数字电路接地端。

3.DAC0832的工作方式

DAC0832进行D/A转换,可以采用两

种方法对数据进行锁存。

第一种方法是使输入寄存器工作在锁存状态,而DAC寄存器工作在直通状态。具体地说,就是使2WR和XFER都为低电平,DAC 寄存器的锁存选通端得不到有效电平而直通;此外,使输入寄存器的控制信号ILE处于高电平、CS处于低电平,这样,当1WR端来一个负脉冲时,就可以完成1次转换。

第二种方法是使输入寄存器工作在直通状态,而DAC寄存器工作在锁存状态。就是使1WR和CS为低电平,ILE为高电平,这样,输入寄存器的锁存选通信号处于无效状态而直通;当2WR和XFER端输入1个负脉冲时,使得DAC寄存器工作在锁存状态,提供锁存数据进行转换。

根据上述对DAC0832的输入寄存器和DAC寄存器不同的控制方法,DAC0832有如下3种工作方式:

⑴单缓冲方式。单缓冲方式是控制输入寄存器和DAC寄存器同时接收资料,或者只用输入寄存器而把DAC寄存器接成直通方式。此方式适用只有一路模拟量输出或几

DAC0832引脚图及接口电路

DAC0832引脚图及接口电路 本节将采用大规模集成电路DAC0831实现D/A转换,并介绍DAC0832引脚图及接口电路,采用ADC0809实现A/D转换。 (一) D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为: 图 4-82 由上式可见,输出的模拟量与输入的数字量( )成正比,这就实现了从数字 一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是-83是DAC0832的逻辑框图和引脚排列。

图4-83 D0~D7:数字信号输入端。 ILE:输入寄存器允许,高电平有效。 CS:片选信号,低电平有效。 WR1:写信号1,低电平有效。 XFER:传送控制信号,低电平有效。 WR2:写信号2,低电平有效。 IOUT1、IOUT2:DAC电流输出端。 Rfb:是集成在片内的外接运放的反馈电阻。 Vref:基准电压(-10~10V)。 Vcc:电源电压(+5~+15V)。 AGND:模拟地 NGND:数字地,可与AGND接在一起使用。 DAC0832输出的是电流,一般要求输出是电压,所以还必须经过一个外接的运算放大器转换成电压84所示。

图4-85 IN0~IN7:8路模拟信号输入端。 A1、A2、A0 :地址输入端。ALE地址lk锁存允许输入信号,在此脚施加正脉冲,上升沿有效,此而选通相应的模拟信号通道,以便进行A/D转换。 START:启动信号输入端,应在此脚施加正脉冲,当上升沿到达时,内部逐次逼近寄存器复位,在始A/D转换过程。 EOC:转换结束输出信号(转换接受标志),高电平有效。 OE:输入允许信号,高电平有效。 CLOCK(CP):时钟信号输入端,外接时钟频率一般为640kHz。 Vcc:+5V单电源供电。、 Vref(+),Vref(-):基准电压的正极、负极。一般Vref(+)接+5V电源,Vref(-)接地。 D7~D0:数字信号输出端。由A2、A1、A0三地址输入端选通8路模拟信号中的任何一路进行A/D

DAC0832中文资料

DAC0832是采样频率为八位的D/A转换器件,下面介绍一下该器件的中文资料以及电路原理方面的知识。 DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A 转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接,下面是芯片电路原理图 图:点击可放大。或下载放大。 DAC0832引脚图和内部结构电路图 dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。 CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。 WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。 Iout2: 电流输出线。其值与Iout1之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一) D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由

DAC0832接口电路及程序设计

附件1: 学号:0121109320507 课程设计 DAC0832接口电路 题目 及程序设计 学院信息工程学院 专业通信工程 班级信息sy1101 姓名芦启超 指导教师陈适 2014年 6月18日

课程设计任务书 学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院 题目: DAC0832接口电路及程序设计 初始条件: (1) Quartus II、ISE 等软件; (2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》 (3)先修课程:数字电子技术、模拟电子技术、通信原理 主要任务: (1)掌握DAC0832接口电路及程序设计的基本原理; (2)掌握仿真软件Quartus II的使用方法; (3)完成对DAC0832接口电路设计,并对仿真结果进行分析。 时间安排: (1)2014 年6月11日--2014 年6月18日理论设计、仿真设计 地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。 (2)2014 年6 月18 日进行理论答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ........................................................................................................................................... II 1 基本原理 (1) 1.1 系统背景 (1) 1.2 FPGA最小系统简介 (1) 1.3 DAC0832简介 (2) 1.4 DAC0832 接口电路设计 (2) 1.5 DAC0832 接口电路程序设计 (3) 1.5.1 DAC0832 时序 (3) 1.5.2 DAC0832接口电路输出控制程序 (4) 2 仿真结果与分析 (7) 2.1 关于Quartus II 软件 (7) 2.1.1 Quartus II 的优点 (7) 2.1.2 Quartus II 对器件的支持 (7) 2.1.3 Quartus II 对第三方EDA 工具的支持 (8) 2.2 输出仿真结果 (8) 3 结论 (10) 总结与体会 (11) 参考文献 (12)

DAC0832中文资料

DAC0832引脚功能电路应用原理图 DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。

dac0832应用电路图 dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。

Iout2: 电流输出线。其值与Iout1之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一)D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为: 图4-82

DAC0832数模转换说明书

设计说明书 题目:DAC0832数模转换 专业:机电 班级:机械111 姓名:蒋德昌 学号:2011071117

摘要 波形发生器是能够产生大量的标准信号和用户定义信号,并保证 高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发 生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各 种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛 用于自动控制系统、震动激励、通讯和仪器仪表领域。 本设计是基于DAC0832波形发生器设计与实现。系统是用AT89C51 作为系统的控制核心,外围电路采用数字/模拟转换电路DAC0832, 运放电路采用最简单的反相放大器,按键,LCD显示器等。系统通 过按键来进行整个系统的控制,按键控制切换产生正弦波,锯齿波,三角波,并且通过另外四个按键改变幅值和频率。系统经过调试和 最后的检测,可以得出本系统一下特点:性能较好,稳定性强,价 格便宜,容易操作,具有一定的实用性,最后的成品可以用在常用 的有波形发生器功能要求的应用电子仪器设备上。 关键词:单片机波形发生器 DAC0832 LCD显示器

目录 1设计任务 (4) 2系统整体方案 (4) 3仿真图 (6) 4所用硬件介绍 (9) 4.1 DAC0832 (9) 4.2 LCD1602 (10) 4.3排阻 (11) 4.4 运算放大器 (12) 4.5按键 (13) 5软件系统设计 (14) 5.1 主程序流程图 (14) 5.2波形选择的设计 (14) 5.3按键改变波形频率的设计 (15) 5.4按键改变波形振幅的设计 (15) 6总结 (16)

数模转换DAC0832的应用(含电路和源程序)

[实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。[实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出 [实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。 [实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出,转换时间大约为1us。使用单电源+5V―+15V供电。参考电压为-10V-+10V。在此我们直接选择+5V 作为参考电压。DAC0832 有三种工作方式:直通方式,单缓冲方式,双缓冲方式;在此我们选择直通的工作方式,将XFER WR2 CS 管脚全部接数字地。管脚8 接参考电压,在此我们接的参考电压是+5V。我们在控制P0口输出数据有规律的变化将可以产生三角波,锯齿波,梯型波等波形了。 [硬件电路] [源代码]

//TX-1BDA测试程序,下载后可观察到D13发光二极管由暗变亮再熄//灭过程, #include sbit wela=P2^7; //数码管位选 sbit dula=P2^6; //段选 sbit dawr=P3^6; //DA写数据 sbit csda=P3^2; //DA片选 unsigned char a,j,k; void delay(unsigned char i) //延时 { for(j=i;j>0;j--) for(k=125;k>0;k--); } void main() { wela=0; dula=0; csda=0; a=0; dawr=0; while(1) { P0=a; //给a不断的加一,然后送给DA delay(50); // 延时50ms 左右,再加一,再送DA。 a++; } } 注意:随着给DA送的数字量的不断增加,其转换成模拟量的电流也不断的增大,所以我们观察发光二极管D12就会从暗变亮,熄灭。。。

dac0832引脚

1. 引脚及其功能 DAC0832是双列直插式8位D/A转换器。能完成数字量输入到模拟量(电流)输出的转换。图1-1和图1-2分别为DAC0832的引脚图和内部结构图。其主要参数如下:分辨率为8位,转换时间为1μs,满量程误差为±1LSB,参考电压为(+10?/span>-10)V,供电电源为(+5~+15)V,逻辑电平输入与TTL兼容。从图1-1中可见,在DAC0832中有两级锁存器,第一级锁存器称为输入寄存器,它的允许锁存信号为ILE,第二级锁存器称为DAC寄存器,它的锁存信号也称为通道控制信号/XFER。 图1-1中,当ILE为高电平,片选信号/CS 和写信号/WR1为低电平时,输入寄存器控制信号为1,这种情况下,输入寄存器的输出随输入而变化。此后,当/WR1由低电平变高时,控制信号成为低电平,此时,数据被锁存到输入寄存器中,这样输入寄存器的输出端不再随外部数据DB的变化而变化。 对第二级锁存来说,传送控制信号/XFER 和写信号/WR2同时为低电平时,二级锁存控制信号为高电平,8位的DAC寄存器的输出随输入而变化,此后,当/WR2由低电平变高时,控制信号变为低电平,于是将输入寄存器的信息锁存到DAC寄存器中。 图1-1中其余各引脚的功能定义如下: (1)、DI7~DI0 :8位的数据输入端,DI7为最高位。 (2)、I OUT1 :模拟电流输出端1,当DAC寄存器中数据全为1时,输出电流 最大,当DAC寄存器中数据全为0时,输出电流为0。 (3)、I OUT2 :模拟电流输出端2,I OUT2与I OUT1的和为一个常数,即I OUT1+ I OUT2=常数。

DAC0832实验报告

DAC0830/0831/0832是8位分辩率的D/A转换集成芯片,与微处理器完全兼容。 这个系列的芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到了广泛的应用这类D/A转换器由8位输入锁存器、8位DAC寄存器、八位D/A转换电路及转换控制电路构成。 DAC0832的应用特性与引脚功能 DAC0830系列芯片是一种具有两个输入数据寄存器的8位DAC,是一个8位D/A转换器芯片,单电源供电,从+5V~+15V均可正常工作。 其主体部分为由T型状态。而模拟开关控制标准电源在T型电阻网络所产生的电流。输入的数字量通过两级缓冲器送到 D/A 转换电路。通过对这两级缓冲器进行控制,可以实现直通、单缓冲、双缓冲三种工作方式。 1)DAC0832内部结构和引脚 DAC0832的内部结构如图所示: DAC0832引脚如下图所示,它采用20线双列直插式封装,引脚功能如下: (1)D7~D0——转换数据输入。 (2)CS——片选信号(输入),低电平有效。 (3)ILE——数据锁存允许信号(输入),高电平有效。 (4)WR1——第一信号(输入),低电平有效。该信号与ILE 信号共同控制输入寄存器是数据直通方式还是数据锁存方式:当ILE=1和XFER=0时,为输入寄存器直通方式;当ILE=1和WR1 =1时,为输入寄存器锁存方式。

(5) WR2 ——第2写信号(输入),低电平有效.该信号与信号合在一起控制DAC寄存器是数据直通方式还是数据锁存方式:当 WR2=0和XFER=0时,为DAC寄存器直通方式; 当WR2=1和XFER=0时,为DAC寄存器锁存方式。 (6)XFER——数据传送控制信号(输入),低电平有效。 (7)Iout2——电流输出“1”。当数据为全“1”时,输出电流最大;为全“0”时输出电流最小。 (8)Iout2——电流输出“2”。DAC转换器的特性之一是:Iout1 +Iout2=常数。 (9)RFB——反馈电阻端 即运算放大器的反馈电阻端,电阻(15KΩ)已固化在芯片中。因为DAC0832是电流输出型D/A转换器,为得到电压的转换输出,使用时需在两个电流输出端接运算放大器,RFB即为运算放大器的反馈电阻。 (10)Vref——基准电压,是外加高精度电压源,与芯片内的电阻网络相连接,该电压可正可负,范围为-10V~+10V。 (11)DGND——数字地 (12)AGND——模拟地 2)DAC0832工作方式 DAC0832利用WR1 、 WR2 、ILE、XFER 控制信号可以构成三种不同的工作方式。 (1) 直通方式——WR1= WR2 =0时,数据可以从输入端经两个寄存器直接进入D/A转换器。 (2)单缓冲方式——两个寄存器之一始终处于直通,即WR1=0或WR2=0,另一个寄存器处于受控状态。 (3)双缓冲方式——两个寄存器均处于受控状态。这种工作方式适合于多模拟信号同时输出的应用场合。 本次实验主要是单缓冲。 所谓单缓冲方式就是使DAC0832的两个输入寄存器中有一个(多位DAC寄存器)处于直通方式,而另一个处于受控锁存方式。为使DAC寄存器处于直通方式,应使WR2 =0和XFER=0。为此可把这两个信号固定接地,或如电路中把WR2与WR1相连,把XFER与CS相连。为使输入寄存器处于受控锁存方式,应把WR1接80S51的WR,ILE接高电平。此外还应把CS接高位地址线或地址译码输出,以便于对输入寄存器进行选择。

DAC0832

8位D/A 转换器-DAC0832 1. 引脚及其功能 DAC0832是双列直插式8位D/A 转换器。能完成数字量输入到模拟量(电流)输出的转换。图1-1和图1-2分别为DAC0832的引脚图和内部结构图。其主要参数如下:分辨率为8位,转换时间为1μs ,满量程误差为±1LSB ,参考电压为(+10~-10)V ,供电电源为(+5~+15)V ,逻辑电平输入与TTL 兼容。从图1-1中可见,在DAC0832中有两级锁存器,第一级锁存器称为输入寄存器,它的允许锁存信号为ILE ,第二级锁存器称为DAC 寄存器,它的锁存信号也称为通道控制信号 /XFER 。 图1-1中,当ILE 为高电平,片选信号 /CS 和写信号 /WR1为低电平时,输入寄存器控制信号为1,这种情况下,输入寄存器的输出随输入而变化。此后,当 /WR1由低电平变高时,控制信号成为低电平,此时,数据被锁存到输入寄存器中,这样输入寄存器的输出端不再随外部数据DB 的变化而变化。 对第二级锁存来说,传送控制信号 /XFER 和写信号 /WR2同时为低电平时, 二级锁存控制信号为高电平,8位的DAC 寄存器的输 出随输入而变化,此后,当 /WR2由低电平变高时,控制信号变为低电平,于是将输入寄存器的信息锁存到DAC 寄存器中。 图1-1中其余各引脚的功能定义如下: (1)、DI 7~DI 0 :8位的数据输入端,DI 7为最高位。 (2)、I OUT1 :模拟电流输出端1,当DAC 寄存器中数据全为1时,输出电流最大,当 DAC 寄存器中数据全为0时,输出电流为0。 (3)、I OUT2 :模拟电流输出端2, I OUT2与I OUT1的和为一个常数,即I OUT1+I OUT2=常数。 (4)、R FB :反馈电阻引出端,DAC0832内部已经有反馈电阻,所以 R FB 端可以直接接 到外部运算放大器的输出端,这样相当于将一个反馈电阻接在运算放大器的输出端和输入端之间。 (5)、V REF :参考电压输入端,此端可接一个正电压,也可接一个负电压,它决定0至 255的数字量转化出来的模拟量电压值的幅度,V REF 范围为(+10~-10)V 。V REF 端与D/A 内部T 形电阻网络相连。 (6)、Vcc :芯片供电电压,范围为(+5~ 15)V 。

DAC0832在Proteus中软件仿真生成波形(矩形,三角,锯齿,正弦)

1.电路图 2.锯齿波 3.三角波

4.矩形波 5.正弦波

6.C代码 #include #include #define DAC0832 XBYTE[0x7fff] #define uchar unsigned char #define uint unsigned int //生成波形期间对应的按键要一直按下 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; //下面的编码定义是由正弦波数据生成器所生成,可以百度下载 uchar code sin_tab[]= { 0x7F,0x82,0x85,0x88,0x8B,0x8F,0x92,0x95,0x98,0x9B,0x9E,0xA1,0xA4,0xA7,0xAA,0xAD ,0xB0,0xB3,0xB6,0xB8,0xBB,0xBE,0xC1,0xC3,0xC6,0xC8,0xCB,0xCD,0xD0,0xD2,0xD5,0xD7 ,0xD9,0xDB,0xDD,0xE0,0xE2,0xE4,0xE5,0xE7,0xE9,0xEB,0xEC,0xEE,0xEF,0xF1,0xF2,0xF4 ,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFB,0xFC,0xFD,0xFD,0xFE,0xFE,0xFE,0xFE,0xFE ,0xFE,0xFE,0xFE,0xFE,0xFE,0xFE,0xFD,0xFD,0xFC,0xFB,0xFB,0xFA,0xF9,0xF8,0xF7,0xF6 ,0xF5,0xF4,0xF2,0xF1,0xEF,0xEE,0xEC,0xEB,0xE9,0xE7,0xE5,0xE4,0xE2,0xE0,0xDD,0xDB ,0xD9,0xD7,0xD5,0xD2,0xD0,0xCD,0xCB,0xC8,0xC6,0xC3,0xC1,0xBE,0xBB,0xB8,0xB6,0xB3 ,0xB0,0xAD,0xAA,0xA7,0xA4,0xA1,0x9E,0x9B,0x98,0x95,0x92,0x8F,0x8B,0x88,0x85,0x82 ,0x7F,0x7C,0x79,0x76,0x73,0x6F,0x6C,0x69,0x66,0x63,0x60,0x5D,0x5A,0x57,0x54,0x51 ,0x4E,0x4B,0x48,0x46,0x43,0x40,0x3D,0x3B,0x38,0x36,0x33,0x31,0x2E,0x2C,0x29,0x27 ,0x25,0x23,0x21,0x1E,0x1C,0x1A,0x19,0x17,0x15,0x13,0x12,0x10,0x0F,0x0D,0x0C,0x0A ,0x09,0x08,0x07,0x06,0x05,0x04,0x03,0x03,0x02,0x01,0x01,0x00,0x00,0x00,0x00,0x00

用dac0832产生正弦波的设计

摘要:DAC 转换器是一种将数字量转换成模拟量的器件,本论文简要介绍模数 转换器DAC0832 的工作原理和芯片结构,并利用模数转换器DAC0832 输出正弦波 进一步分析输出波形的模拟失真度。 关键词:模数转换器DAC0832 ,正弦数据区,模拟失真度,FFT 变换。 引言: 1 . 简要模数转换器DAC083 2 的介绍 DAC 转换器是一种将数字量转换成模拟量的器件,其特点是接收、保持和转换 的是数字信息,不存在随温度和时间的漂移问题,因此电路的抗干扰性能较好。 DAC0832 是8 位分辨率的D/A 转换集成芯片,它具有价格低廉、接口简单及转 换控制容易等特点。它由8 位输入锁存器、8 位DAC 寄存器、8 位DIA 转换电路 及转换控制电路组成,能和CPU 数据总线直接相连,属中速转换器,大约在1u s 内将一个数字量转换成模拟量输出。 1.1 DAC0832 的结构如下: D0 ~D7 :8 位数据输入线,TTL 电平,有效时间应大于

90ns( 否则锁存器的数 据会出错) ; ILE :数据锁存允许控制信号输入线,高电平有效; CS :片选信号输入线(选通数据锁存器),低电平有效; WR1 :数据锁存器写选通输入线,负脉冲(脉宽应大于500ns )有效。由ILE 、 CS 、WR1 的逻辑组合产生LE1 ,当LE1 为高电平时,数据锁存器状态随输入数据 线变换,LE1 的负跳变时将输入数据锁存; XFER :数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns ) 有效; WR2 :DAC 寄存器选通输入线,负脉冲(脉宽应大于500ns )有效。由WR1 、X FER 的逻辑组合产生LE2 ,当LE2 为高电平时,DAC 寄存器的输出随寄存器的输入而 变化,LE2 的负跳变时将数据锁存器的内容打入DAC 寄存器并开始D/A 转换。 IOUT1 :电流输出端 1 ,其值随DAC 寄存器的内容线性变化;IOUT2 :电流输出端2 ,其值与IOUT1 值之和为一常数; Rfb :反馈信号输入线,改变Rfb 端外接电阻值可调整转换满量程精度;

DAC0832输出三种波形

编程:从DAC0832输出端分别产生锯齿波、三角波和方波。根据单缓冲方式图的连接,DAC0832的口地址为7FFFH。 C语言编程: 锯齿波: #include #include //定义绝对地址访问 #define uchar unsigned char//定义uchar代表无符号字符型 #define DAC0832 XBYTE[0x7FFF] void main() { uchar i; while(1) { for (i=0;i<0xff;i++) {DAC0832=i;} } 三角波: #include #include //定义绝对地址访问 #define uchar unsigned char #define DAC0832 XBYTE[0x7FFF] void main() { uchar i; while(1) { for (i=0;i<0xff;i++) {DAC0832=i;} for (i=0xff;i>0;i--) {DAC0832=i;}

} } 方波: #include //定义绝对地址访问 #define uchar unsigned char #define DAC0832 XBYTE[0x7FFF] void delay(void); void main() { uchar i; while(1) { DAC0832=0; //输出低电平 delay(); //延时 DAC0832=0xff; //输出高电平 delay(); //延时 } } void delay() //延时函数 { uchar i; for (i=0;i<0xff;i++) {;} }

dac0832程序

/*0832各种波型输出演示 P1.1P1.0 = 00时正弦波 P1.1P1.0 = 01时锯齿波 P1.1P1.0 = 10时方波 P1.1P1.0 = 11时三角波 需要连接的导线:(1)译码器Y0接DAC0832的CS (2)P3.6即XWR插孔接DAC0832的WR (3)8芯的排线连接8排针的P0口和DAC0832的D7-D0 (4)串并转换区的+5v接DAC0832的参考电压Vref插孔*/ #include sbit P1_1=P1^1; sbit P1_0=P1^0; #include //absacc.h是C51中绝对地址访问函数的头文件 #define daport XBYTE[0x8000]//将daport定义为外部I/O口,地址为8000H,则74ls138的Y0有效 unsigned char i,j,k; bit updown; //三角波的上升/下降 unsigned char code sinn[64]={198,204,210,216,222,228,233,237,242,245,249,251,253,255,255,255, 255,254,252,250,247,243,239,235,230,224,219,213,207,201,194,188, 181,175,169,163,158,152,147,143,139,136,133,131,129,128,128,128, 129,130,132,135,138,142,146,151,156,162,168,174,180,186,193,199}; //正弦的数值在128-255之间,所以输出的正弦幅值在0-5v void main(void) { updown=0; i=128; j=0; while(1) { if (P1_1==1) { if (P1_0==0) //输出占空比为25%的方波 { if (j<=40) i=255;//i=255时输出的电压为+5v else if(j<=160) i=0;//i=0时输出的电压为-5v else j=0; } else //输出-5v—+5v的三角波 { if (updown==0) //上升 { if (i==255) updown=1; else i=i+5;//i++; }

DAC0832详解

DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个DA芯片以其价格低廉、接口简单、转换控制容易等优点, 在单片机应用系统中得到广泛的应用。D/A转换器由8位输入锁 存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。 DAC0832的主要特性参数如下: * 分辨率为8位; * 电流稳定时间1us; * 可单缓冲、双缓冲或直接数字输入; * 只需在满量程下调整其线性度; * 单一电源供电(+5V~+15V); * 低功耗,20mW。 DAC0832结构: * D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错); * ILE:数据锁存允许控制信号输入线,高电平有效;

* CS:片选信号输入线(选通数据锁存器),低电平有效; * WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存; * XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效; * WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR2、XFER的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始 D/A转换。 * IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化; * IOUT2:电流输出端2,其值与IOUT1值之和为一常数; * Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满量程精度; * Vcc:电源输入端,Vcc的范围为+5V~+15V; * VREF:基准电压输入线,VREF的范围为-10V~+10V; * AGND:模拟信号地 * DGND:数字信号地 DAC0832的工作方式: 根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式,DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。 DAC0832引脚功能电路应用原理图DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图:D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。 dac0832应用电路图 dac0832应用电路图:

DAC0832芯片

DAC0832芯片: DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个DA芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。 DAC0832的主要特性参数如下: * 分辨率为8位; * 电流稳定时间1us; * 可单缓冲、双缓冲或直接数字输入; * 只需在满量程下调整其线性度; * 单一电源供电(+5V~+15V); * 低功耗,20mW。 DAC0832结构:

* D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错); * ILE:数据锁存允许控制信号输入线,高电平有效; * CS:片选信号输入线(选通数据锁存器),低电平有效; * WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存; * XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效; * WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR2、XFER的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始D/A转换。 * IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化; * IOUT2:电流输出端2,其值与IOUT1值之和为一常数; * Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满量程精度; * Vcc:电源输入端,Vcc的范围为+5V~+15V; * VREF:基准电压输入线,VREF的范围为-10V~+10V; * AGND:模拟信号地 * DGND:数字信号地 DAC0832的工作方式: 根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式, DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。 DAC0832引脚功能电路应用原理图DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL 电平,可直接与TTL电路或微机电路连接。 dac0832应用电路图 dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。

dac0832中文资料引脚图电路原理

dac0832中文资料引脚图电路原理 DAC0832是采样频率为八位的D/A转换器件,下面介绍一下该器件的中文 资料以及电路原理方面的知识。 DAC0832内部结构资料:芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,海可以外接。 该片逻辑输入满足TTL电压电平范围,可直接与TTL电路或微机电路相接, 下面是芯片电路原理图 DAC0832引脚图和内部结构电路图 DAC0832应用电路图

电路图如上图所示,此接法是用DAC0832的直通方式,只要二进制数据送到DAC 0832的数据口,则会自动把数据转为相应的电压.但运放是如图的电压则输出一般不可能达到基准电压.要想达到基准电压则要提高运放的电压.当基准为负是,只要提高运放的正电压就可以使输出达到基准电压了,当基准为正是,则为提高运放的负电压,一般的运放提高两伏就可以了,但不同的运放会有些区别. 程序如下: 1.输出固定电压的程序 #include "reg51.h" void DAC0832(unsigned char x) { P2=x; } void main() { DAC0832(255); while(1){ ;} } 2.输出三角波与正弦波程序. #include unsigned char flag; //波型输出标置变量 bit time; unsigned char sin(unsigned char x) {

DAC0832简介

DAC0832 DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个DA芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A 转换电路及转换控制电路构成。 主要参数 * 分辨率为8位; * 电流稳定时间1us; * 可单缓冲、双缓冲或直接数字输入; * 只需在满量程下调整其线性度; * 单一电源供电(+5V~+15V); * 低功耗,20mW。 结构 * D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错); * ILE:数据锁存允许控制信号输入线,高电平有效; * CS:片选信号输入线(选通数据锁存器),低电平有效; * WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存; * XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效;

* WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR2、XFER 的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始D/A转换。 * IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化; * IOUT2:电流输出端2,其值与IOUT1值之和为一常数; * Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满量程精度; * Vcc:电源输入端,Vcc的范围为+5V~+15V; * VREF:基准电压输入线,VREF的范围为-10V~+10V; * AGND:模拟信号地; * DGND:数字信号地。 工作方式 根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式,DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。 1、单缓冲方式。单缓冲方式是控制输入寄存器和DAC寄存器同时接收资料,或者只用输入寄存器而把DAC寄存器接成直通方式。此方式适用只有一路模拟量输出或几路模拟量异步输出的情形。 2、双缓冲方式。双缓冲方式是先使输入寄存器接收资料,再控制输入寄存器的输出资料到DAC寄存器,即分两次锁存输入资料。此方式适用于多个D/A转换同步输出的情节。 3、直通方式。直通方式是资料不经两级锁存器锁存,即CS*,XFER* ,WR1* ,WR2* 均接地,ILE接高电平。此方式适用于连续反馈控制线路和不带微机的控制系统,不过在使用时,必须通过另加I/O接口与CPU连接,以匹配CPU与D/A转换。 DAC0832引脚功能电路应用原理图DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图:D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。 特性 1)分辨率

DAC0832各引脚的功能如下

DAC0832各引脚的功能如下: DI0~DI7: 数据输入线。 I LE : 数据允许锁存信号,高电平有效; /CS : 输入寄存器选择信号,低电平有效。/WR1为输入寄存器的写选通信号。输入寄存器的锁存信号/LE1由I LE 、/CS 、/WR1的逻辑组合产生。当I LE 为高电平、 /CS 为低电平、/WR1输入负脉冲时,在/LE1产生正脉冲;/LE1为高电平,输入锁存器的状态随数据输入线的状态变化,/LE1的负跳变将数据线上的信息锁入输入寄存器。 /XFER: 数据传送信号,低电平有效。/WR2为DAC 寄存器的写选通信号。DAC 寄存器的锁存信号/LE2,由/XEFR 、/WR2的逻辑组合产生。当/XFER 为低电平,/WR2输入负脉冲,则在/LE2产生正脉冲;/LE2为高电平是时,DAC 寄存器的输出和输入寄存器的状态一致,/LE2负跳变,输入寄存器的内容打入DAC 寄存器。 V REF : 基准电源输入引脚 。 R fb : 反馈信号输入引脚,反馈电阻在芯片内部。 I OUT1、I OUT2 : 电流输出引脚。电流I OUT1、I OUT2 的和为常数,I OUT1、I OUT2 随DAC 寄存 器的内容线性变化。 VCC : 电源输入引脚。 AGND : 模拟信号地 DGND : 数字地。 实验步骤: 1、将电源线的 +12V (黄线) -12V 连接到(蓝线)连接到稳压电源对应端。 2、将开关K3 拨到ON 位置,连P1。0到发光二极管。 3、设置程序的“仿镇模式”的“片外RAM”为“用户”模式 4、输入如下程序,在累加器 A 中放置不同的值(00-0FF 之间取不同值)观察电机的运转情况, 填表分析数值与转速、转向的关系。

dac0832应用电路图

dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。 CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。 WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。 Iout2: 电流输出线。其值与Iout1之和为一常数。 RFb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一)D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R 电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为:

图4-82 由上式可见,输出的模拟量与输入的数字量()成正比,这就实现了从数字量到模拟量的转换。 一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端。输入可有28=256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。图4-83是DAC0832的逻辑框图和引脚排列。 图4-83 D0~D7:数字信号输入端。 ILE:输入寄存器允许,高电平有效。 CS:片选信号,低电平有效。 WR1:写信号1,低电平有效。 XFER:传送控制信号,低电平有效。 WR2:写信号2,低电平有效。

相关主题
文本预览
相关文档 最新文档