当前位置:文档之家› FPGA设计实验指导书(2015)

FPGA设计实验指导书(2015)

FPGA设计实验指导书(2015)
FPGA设计实验指导书(2015)

《FPGA设计》实验指导书

安全操作注意事项

1、接插下载电缆前,请务必关闭开发板开关,避免损坏下载电缆或实验箱器件。

2、操作过程中应防止静电,手指不可以接触开发板的扩展口引脚。

3、保持实验室整洁。

4、小心轻放,避免不必要的硬件损伤或者人身受伤。

实验一 Quartus ii软件的操作使用

一、实验目的

1、熟悉Quartus II软件的使用;

2、掌握用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计逻

辑电路;

3、通过电路的仿真及验证,进一步了解2选1多路选择器的功能;

二、实验内容

1、用原理图输入法来设计2选1多路选择器

参照按图1-1所示来编辑完成2选1多路选择器的原理图输入,其中a、b、为数据输入端,sl为控制输入端,out为2选1多路选择器输出端。

图1-1 2选1多路选择器原理图

2、用Verilog HDL硬件描述语言来设计数据选择器

三、实验仪器、设备及材料

电脑、EDA软件、实验箱、下载电缆。

四、实验原理

2选1多路选择器的RTL图及真值表如图1-2及表1-1所示。

图1-2 2选1多路选择器的RTL图

五、重点、难点

本实验技术重点在于理解2选1多路选择器的功能后,用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计该逻辑电路。

六、实验步骤

(一)原理图输入法的设计步骤:

进入Windows 操作系统,双击Quartus II图标,启动软件。1、单击File \ New Project Wizard菜单,输入文件名路径与设计项目的名字mux21a,点击finish, 建立设计项目。

点击Assignment \ Device菜单,选择器件(本设计选用cyclone 系列的

EP4CE6E22C8)。

2、启动菜单File \ New,选择Block Diagram/Schematic File,点OK,启动

原理图编辑器。

入元件名,点OK完成元件放置。把鼠标移到元件引脚附近,则鼠标光标自动由

存。

图1-1 mux21a原理图

项目导航栏内容如下(依次为层次标签、文件标签、设计单元标签)。

3、综合和分析

点击菜单栏上分析和综合红色箭头所指的工具图标,可以为下一步功能仿真

作准备(注意功能仿真需要产生网表文件)。

上图快捷工具栏中主要用到的快捷操作按钮英文含义如下:

Project navigator 项目导航;

Device 器件选择;

Setting 环境设置;

Pin planner 引脚锁定;

Start compilation 全程编译;

Start analysis & synthesis 分析和综合

4、引脚锁定

点击菜单栏上pin planner红色箭头所指的工具图标,进行引脚锁定,引脚编

号请查阅原理图。

5、全程编译

点击菜单栏上全程编译红色箭头所指的工具图标,可以进行全程编译。

6、编译无误后,用下载电缆通过JTAG接口将对应的mux21a.sof文件下载

7、观察实验结果是否与仿真结果相吻合。

(二)用Verilog HDL语言完成的设计步骤:

与(一)大体类似,只是在其第步时选择verilog hdl file,点击OK后,键入下列代码:

module mux21a (out,a,b,sl);

output out;

input a,b,sl;

reg out;

always@(a,b,sl)

case(sl)

0:out=a;

1:out=b;

default:out=1'bz;

endcase

endmodule

七、实验报告要求

写出用Verilog HDL设计mux21a的主要过程。

八、实验注意事项

用原理图输入法和Verilog HDL语言两种方法所做的设计,一定要建两个不同的工程,并放在不同的目录中,且目录路径中千万不要出现中文字符。

实验二组合逻辑电路设计

一、实验目的

1、学习Verilog HDL基本语法;

2、巩固Quartus II环境下的Verilog HDL编程设计的基础

二、主要仪器设备

EDA实验系统1台

PC机

三、实验内容

1、设计一个四线至二线编码器,其真值表如下:

表2.1 四线至二线编码器的真值表

1、设计一个2位信号的比较器,该比较器的电路符号如图2.1所示。

图2.1 比较器电路符号

引脚说明:A、B皆为二位信号;CLK为时钟脉冲输入;RST为清除控制信号。

AGTB:当A>B时,其值为1,否则为0;

AEQB:当A=B时,其值为1,否则为0;

ALTB:当A

3、设计一个四位全加器。

四、实验报告

根据以上实验内容写出实验报告,包括程序设计,软件编译,管脚分配,硬件测试结果等内容。

实验三时序逻辑电路设计

一、实验目的

理解触发器概念,掌握时序器件的Verilog HDL语言程序设计的方法二、主要仪器设备

EDA实验系统1台

PC机

三、实验内容

设计以下内容:

1、基本的D触发器;

2、同步复位的D触发器;

3、异步复位的D触发器;

4、同步置位/复位的D触发器;

四、实验报告

根据以上实验内容写出实验报告,包括程序设计,管脚分配;并提交其仿真结果及分析。

实验四一般计数器的设计及数码管显示

一、实验目的

1、学习计数器的设计、仿真和硬件测试;

2、掌握原理图与文本混合设计方法;

3、学习硬件扫描显示电路的设计方法。

二、主要仪器设备

计算机1台,FPGA开发板1套。

三、实验原理

1、将50MHZ分频为1HZ;

2、设计1个模为24的8421BCD码加法计数器。

3、将分频或计数结果在数码管上显示。

五、实验报告要求

根据以上实验内容写出实验报告,包括仿真结果及分析、硬件实现、硬件测试等内容。

实验五乐曲硬件演奏电路的设计

一、实验目的

学习设计硬件乐曲演奏电路以及相关的控制电路

二、主要仪器设备

FPGA开发板1台,计算机1台

三、实验原理

与利用微处理器(CPU或MCU)来实现乐曲演奏相比,以纯硬件完成气脉逻辑要复杂一些。本实验设计项目作为《梁祝》乐曲演奏电路的实现。

硬件乐曲演奏电路顶层模块由6个子模块电路构成。

其详细实现原理参考教材P.200

四、实验内容

1、定制音符数据ROM_MUSIC。

2、完成系统仿真调试和硬件验证。

3、(选做内容)在模块MUSIC内填入新的乐曲。

五、实验报告

根据以上实验内容写出实验报告,包括仿真结果及分析、硬件实现、硬件测试等内容。

实验六ModelSim 仿真测试

一、实验目的

1、掌握一般计数器的ModelSim 仿真测试方法。

二、主要仪器设备

EDA实验系统1台

PC机

三、实验原理

以下是2选一多路选择器的verilog结构描述程序:

下面是它的测试模块:

见参考资料《ModelSim操作简介》

五、实验内容

试设计一个含异步复位、同步计数使能和可预置的十进制计数器,请写出它的Verilog 程序和test bench模块,并在ModelSim软件平台上进行仿真测试。

五、实验报告

根据以上实验内容写出实验报告,包括verilog源程序和verilog test bench程序,并绘出仿真波形图,总结ModelSim仿真的主要工作流程。

实验七1011序列检测器的设计

一、实验目的

1、用状态机实现序列检测器;

2、进一步熟悉TEST BENCH的编写方法。

二、主要仪器设备

FPGA开发板1台;

Quartus II12.0开发软件;

ModelSim仿真软件。

三、实验要求

1、程序中时钟频率为1Hz;

2、数字码流为“1011011001……”。

3、用开发板和ModelSim验证实验结果。

四、实验原理

序列检测器的逻辑功能:序列检测器就是将一个指定的序列从数字码流中识别出来。本例中,将设计一个“1011”序列的检测器。设X为数字码流输入,Z为检出输出标记,高电平表示“发现指定序列”,低电平表示“没有发现指定序列”。考虑数字码流为“1011011001……”,则如表7.1所示。

表7.1序列检测器的逻辑功能

由表可见,在时钟1~4,码流中出现“1011”,对应输出Z在第4个时钟输出高电平“1”,表示“发现指定序列”。同时注意,在时钟4~7,Z还有一次输出,但它与第一次检出的序列重叠。设电路的初始状态为“IDLE”。

五、实验报告

根据以上实验内容写出实验报告,包括状态转换图、程序源代码(含序列检测器及其test bench),软件编译summary(Quartus ii 12.0全程编译报告和ModelSim编译报告),ModelSim 仿真结果及结果分析,硬件测试情况等内容。

选做实验一交通灯设计及仿真实验

一、实验目的

1、学习动态数码管的工作原理;

2、实现FPGA对四位动态数码管的控制;

3、学习设计硬件乐曲演奏电路以及相关的控制电路;

3、熟悉模块化编程的操作流程。

二、主要仪器设备

PC机

三、实验内容

编写时序控制程序,实现东西、南北向的交通灯计数并亮灯的程序。并用modelsim进行仿真测试。东西、南北方向红灯、绿灯亮的时间各为30秒,黄灯亮时间为3秒;

表7.1 交通灯控制器的状态转换表

主程序设计提示:

(1)为了实现计时,需要设计一个分频器子程序,输出周期为1秒的时钟信号;采用倒计时形式,需要设计减法计时器;

(2)为了在七段数码管上正确显示十进制数据,需要设计一个译码器;

(3)主程序使用case语句,采用有限状态机的方式设计。

四、实验报告

根据以上实验内容写出实验方案,包括程序设计,软件编译,仿真结果及分析,硬件测试等内容。

选做实验二秒表的设计

一、实验目的

1、实现FPGA对四位动态数码管的控制;

2、熟悉模块化编程的操作流程

二、主要仪器设备

EDA/SOPC实验系统1台

三、实验要求

1、秒表的最小计时单位为0.1秒;

2、设计的秒表能够实现暂停和继续计时的功能。

五、实验报告

根据以上实验内容写出实验报告,包括程序设计,软件编译,仿真结果及分析,硬件测试等内容。

选做实验三出租车计费器设计

一、实验目的

1.了解出租车计费器的工作原理。

2.学会用Verilog HDL 语言编写正确的七段码管显示程序。

3.掌握用Verilog HDL编写复杂功能模块。

4.掌握电机测速、显示电器、计数电路的设计方法。

5.熟悉状态机在数字系统设计中的应用

二、主要仪器设备

EDA/SOPC实验系统1台

三、实验原理

出租车计费器一般都是按公里计费,通常是起步价xx 元(xx 元可以行走2 公里),然后再是xx 元/公里。所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。结果的显示用8 个七段码管,前四个显示里程,后四个显示费用。

在设计verilog 程序时,首先在复位信号的作用下将所有用到的寄存器进行清零,然后开始设定到起步价记录状态,在此状态时,在起步价规定的里程里都一直显示起步价,直到路程超过起步价规定的里程时,系统转移到每公里计费状态,此时每增加一公里,计费器增加相应的费用。

为了便于显示,在编写过程中的数据用BCD 码来显示,这样就不存在数据格式转换的问题。比如表示一个三位数,那么就分别用四位二进制码来表示,当个位数字累加大于9 时,将其清零,同时十位数字加1,依此类推。

四、实验内容

本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3 元,准行1 公里,以后1 元/公里。显示部分的七段码管扫描时钟选择时钟模块的1KHz,电机模块的跳线选择GND 端,这样通过旋钮电机模块的电位器,即可达到控制电机转速的目的。另外用按键模块的S1 来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。直流电机用来模拟出租车的车轮子,没转动一圈认为是行走1 米,所以每旋转1000 圈,认为车子前进1 公里。系统设计是需要检测电机的转动情况,每转一周,计米计数器增加1。七段码管显示要求为前4 个显示里程,后3 个显示费用。

五、实验报告

根据以上实验内容写出实验报告,包括程序设计,软件编译,仿真结果及分析,硬件测试等内容。

选做实验四频率计的设计

一、实验目的

1.了解频率计的工作原理。

2.体会FPGA 在数字系统设计方面的灵活性。

3.掌握Verilog HDL 在测量模块设计方面的技巧。

二、主要仪器设备

EDA/SOPC实验系统1台、信号源1台

三、实验原理

所谓频率就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T(也称闸门时间)内测得这个周期性信号的重复变化次数为N,则其频率可表示为f=N/T

由上面的表示式可以看到,若时间间隔T 取1s,则f=N,但是这种频率计仅能测出频率大于或者等于1Hz 的情况,且频率越高,精度也越高。实际应用中,频率计的闸门时间十个可变量,当频率小于1Hz 是,闸门时间就要适当放大。

本实验中为了简化实验代码,闸门时间固定为1s,闸门信号是一个0.5Hz 的方波,在闸门有效(高电平)期间,对输入的脉冲进行计数,在闸门信号的下降沿时刻,所存当前的计数值,并且清零所有的频率计数器。由于闸门时间是1s(0.5Hz 方波),所以显示的频率是1s 钟更新一次,且显示的内容是闸门下降沿时锁存的值。

在设计频率计的时候,八个七段码管最多可以显示99,999,999Hz,因此在设计时候用八个4 位二进制码(BCD 码)来表示,另外还必须有同样的八个4 位二进制码来对输入的频率进行计数,在闸门下降沿的时候,将后者的值锁存到前者的8 个寄存器中。另外为了读数方便,在显示时需要进行判断,假如频率的值小于1KHz 并且大于100Hz,那么只显示三位有效值,其他高位全部不显示。

四、实验内容

本实验要完成的任务就是设计一个频率计,系统时钟选择实验箱时钟模模块的1KHz 时钟,闸门时间为1s(0.5Hz,需要对系统时钟进行2000 分频),在闸门为高电平期间,对输入的频率进行计数,当闸门变低的时候,记录当前的频率值,并将频率计数器清零,频率的显示每过2 秒刷新一次。频率计的输入从实验箱的观察模块的探针输入。

五、实验报告

根据以上实验内容写出实验报告,包括程序设计,软件编译,仿真结果及分析,硬件测试等内容。

附录——FPGA接口对照表

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

大地测量学实验指导书汇总

《大地测量学基础》实验指导书 XXX大学土木工程系测绘工程教研室 2010年7月

第一部分:实验与实习须知 控制测量学是测绘工程专业一门践性很强的专业主干课程,其实验与实习是教学中必不可少的重要环节。只有通过实验与实习,才能巩固课堂所学的基本理论,进而掌握仪器操作的基本技能和测量作业的基本方法,并为深入学习测绘专业理论和有关专业知识打下基础。在进行实验之前,必须明确实验的基本规定,了解仪器的借还手序及仪器的保护、保养等知识,做到爱护仪器,达到实习之目的,防患于未然。 实验与实习规定 1.在实验或实习之前,必须复习教材中的有关内容,认真仔细地预习本指导书,以明确目的、了解任务、熟悉实验步骤和过程、注意有关事项并准备好所需文具用品。 2.实验或实习分小组进行,组长负责组织协调工作,办理所用仪器工具和借领和归还手续。 3.实验或学习应在规定的时间进行,不得无故缺席或迟到早退;应在指定的场地进行,不得擅自改变地点或离开现场。 4.必须遵守“测量仪器工具的借领与使用规则”和“测量记录与计算规则”。 5.应该服从教师的指导,严格按照本指导书的要求认真、按时、独立地完成任务。每项实验或实习,都应取得合格的成果,提交书写工整规范的实验报告或实习记录,经指导教师审阅同意后,才可交还仪器工具,结束工作。 6.在实验或实习过程中,还应遵守纪律,爱护现场的花草、树木和农作物,爱护周围的各种公共设施,任意砍折、踩踏或损环者应予赔偿。 测量仪器工具的借领与使用规则 对测量仪器工具的正确使用、精心爱护和科学保养,是测量人员必须具备的素质和应该掌握的技能,也是保证测量成果质量、提高测量工作效率和延长仪器工具使用寿命的必要条件。在仪器工具的借领与使用中,必须严格遵守下列规定。 一、仪器工具的借领 1.在指定的地点凭学生证办理借领手续,以小组为单位领取仪器工具。 2.借领时应该当场清点检查。实物与清单是否相符,仪器工具及其附件是否齐全,背带及提手是否牢固,脚架是否完好等。如有缺损,可以补领或更换。 3.离开借领地点之前,必须锁好仪器箱并捆扎好各种工具;搬运仪器工具时,必须轻取轻放,避免剧烈震动。 4.借出仪器工具之后,不得与其他小组擅自调换或转借。

实验指导书 实验二_SolidWorks建模1

实验二 SolidWorks 草绘特征和放置特征操作(一) 一、 实验目的 1. 掌握基本零件建模的一般步骤和方法 2. 掌握SolidWorks 草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、 放样的操作方法。 3. 掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特 征、筋的操作方法 二、 实验内容 完成下列下列零件造型 三、 实验步骤 1. 连接件设计 完成如图 1 (1) (2) 2 所示。 图 1连接件 图 2草图 (3) 单击【拉伸凸台/ 框内选择【两侧对称】选项,在【深度】文本框内输入“54mm ”,单击【确定】按钮,如图 3所示。 图 3 “拉伸”特征 (4) 120°”,然后 在第二参考中选择图形的一条下边线。单击【确定】按钮,建立新基准面,如

错误!未找到引用源。所示。 (5) 1,选择“反转法线” 1,单选择 4所示。 图4草图 图4建立基准面 底面边线

(6) 单击【拉伸凸台/ 列表框内选择【给定深度】选项,在【深度】文本框内输入“12mm”,单击【确定】按钮,如图5所示。 图5“拉伸”特征 (7)选取基体上表面,单击【草图绘制】进入草图绘制,使用中心线工具在 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图6所示。 图 6 中心线 (8) 内输入“8mm”,在图形区域选择中心线,在属性管理器中选中【添加尺寸】、【选择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】按钮,标注尺寸,完成草图,如图7所示。 运用“等距实体”绘制草图 (8) -拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮,如图8所示。

FPGA设计的报告课程设计

FPGA课程设计 实 验 报 告

实验一:设计一个可控的100进制可逆计数器 一、实验要求 用DE2-115开发板下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、关键词 可控制、可逆、100进制、复位、暂停、递增、递减 三、内容摘要 module updown_count(qout,reset,clk,plus,minus); output[7:0] qout;/*定义一个8位的输出,其目的是 低四位和高四位分别表示计数器的个位和十位。*/ input clk,plus,minus,reset;//定义四个输入,时钟,加计数,减计数和清零 reg[7:0] qout;//qout的数据类型为寄存器型 always @(posedge clk)//当clk上升沿到来时执行一遍下列程序 begin if(!reset) qout<=0;//当reset为低电平时,计数器执行清零功能,否则跳过else begin case({minus,plus})//case语句模块,包含加,减和暂停四个模块 2'b10: if (qout[3:0]==0)//判断个位是否为零,若不为零,跳到个位减一begin qout[3:0]<=9;//给个位赋值 if(qout[7:4]==0) qout[7:4]<=9;//判断十位是否为零,并且给十位赋值 else qout[7:4]<=qout[7:4]-1;//由于个位赋9,相当于向十位借一,因而十位减一end else qout[3:0]<=qout[3:0]-1;//个位减一 /*这一部分是减计数模块,其思路是:首先判断个位是否为零,若为零,则执行后面的程序,个位直接赋9,并且十位减一;否则个位减一*/ 2'b01: if (qout[3:0]==9)//判断个位是否为9,否则跳到个位加一begin

电工学实验指导书汇总Word版

电工学实验指导书 武汉纺织大学 实验一直流电路实验 (1)

实验二正弦交流电路的串联谐振 (4) 实验三功率因数的提高 (6) 实验四三相电路实验 (9) 实验五微分积分电路实验 (12) 实验六三相异步电动机单向旋转控制 (14) 实验七三相异步电动机正、反转控制 (16) 实验八单相桥式整流和稳压电路 (18) 实验九单管交流放大电路 (19) 实验十一集成运算放大器的应用 (24) 实验十二组合逻辑电路 (26) 实验十三移位寄存器 (29) 实验十四十进制计数器 (33)

实验一直流电路实验 一、实验目的: 1.验证基尔霍夫定律 2.研究线性电路的叠加原理 3.等效电源参数的测定 二、实验原理: 1.基尔霍夫定律是电路理论中最重要的定律之一,它阐明了电路整体结构必须遵守的定律,基尔霍夫定律有两条即电流定律和电压定律。 电流定律:在任一时刻,流入电路中任一节点的电流之和等于流出该节点的电流之和,换句话来说就是在任一时刻,流入到电路中任一节点的电流的代数和为零,即∑I=0。 电压定律:在任一时刻,沿任一闭合回路的循行方向,回路中各段电压降的代数和等于零,即 ∑U=0。 2.叠加原理:n个电源在某线性电路共同作用时,它们在电路中任一支路中产生的电流或在任意两点间所产生的电压降等于这些电源单独作用时,在该部分所产生的电流或电压降的代数和。三、仪器设备及选用组件箱: 1.直流稳压电源 GDS----02 GDS----03 2.常规负载 GDS----06 3.直流电压表和直流电流表 GDS----10 四、实验步骤: 1.验证基尔霍夫定律 按图1—1接线,(U S1、U S2分别由GDS---02,GDS---03提供)调节U SI=3V,U S2=10V,然后分别用电流表测取表1—1中各待测参数,并填入表格中。 2.研究线性电路的叠加原理 ⑴将U S2从上述电路中退出,并用导线将c、d间短接,接入U S1,仍保持3V,测得各项电流,电压,把所测数据填入表1—2中;

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

R语言实验指导书(二)

R语言实验指导书(二) 2016年10月27日

实验三创建和使用R语言数据集 一、实验目的: 1.了解R语言中的数据结构。 2.熟练掌握他们的创建方法,和函数中一些参数的使用。 3.对创建的数据结构进行,排序、查找、删除等简单的操作。 二、实验内容: 1.向量的创建及因子的创建和查看 有一份来自澳大利亚所有州和行政区的20个税务会计师的信息样本 1 以及他们各自所在地的州名。州名为:tas, sa, qld, nsw, nsw, nt, wa, wa, qld, vic, nsw, vic, qld, qld, sa, tas, sa, nt, wa, vic。 1)将这些州名以字符串的形式保存在state当中。 2)创建一个为这个向量创建一个因子statef。 3)使用levels函数查看因子的水平。 2.矩阵与数组。

i.创建一个4*5的数组如图,创建一个索引矩阵如图,用这个索引矩 阵访问数组,观察结果。 3.将之前的state,数组,矩阵合在一起创建一个长度为3的列表。

4.创建一个数据框如图。 5.将这个数据框按照mpg列进行排序。 6.访问数据框中drat列值为3.90的数据。

三、实验要求 要求学生熟练掌握向量、矩阵、数据框、列表、因子的创建和使用。

实验四数据的导入导出 一、实验目的 1.熟练掌握从一些包中读取数据。 2.熟练掌握csv文件的导入。 3.创建一个数据框,并导出为csv格式。 二、实验内容 1.创建一个csv文件(内容自定),并用readtable函数导入该文件。 2.查看R语言自带的数据集airquality(纽约1973年5-9月每日空气质 量)。 3.列出airquality的前十列,并将这前十列保存到air中。 4.查看airquality中列的对象类型。 5.查看airquality数据集中各成分的名称 6.将air这个数据框导出为csv格式文件。(write.table (x, file ="", sep ="", https://www.doczj.com/doc/e55067936.html,s =TRUE, https://www.doczj.com/doc/e55067936.html,s =TRUE, quote =TRUE)) 三、实验要求 要求学生掌握从包中读取数据,导入csv文件的数据,并学会将文件导出。

FPGA课程设计题目

1、彩灯控制器设计 内容及要求: 设计一个彩灯控制器,具体设计要求如下: (1)要有多种花型变化(至少设计5种),led至少16路 (2)多种花型可以自动变化 (3)彩灯变换的快慢节拍可以选择 (4)具有清零开关 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 2、数字秒表设计 内容及要求: 设计一用于体育比赛的数字秒表,具体设计要求如下: (1)6位数码管显示,其中两位显示min,四位显示see,显示分辨率为0.01 s。 (2)秒表的最大计时值为59min59.99see。 (3)设置秒表的复位/启动键,按一下该键启动计时,再按即清0。依此循环。 (4)设置秒表的暂行/继续键。启动后按一下暂行,再按继续。依此循环。 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 3、交通信号控制系统设计 内容及要求: 设计一个十字路口交通控制系统,具体设计要求如下: (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是40秒、5秒和45秒, 交通灯运行的切换示意图和时序图分别如图1、图2所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止计时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。 图1 交通灯运行切换示意图

B红 CP A绿 A黄 A红 B黄 B绿 5S 5S 图2 交通灯时序图 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 4、简易密码锁设计 内容及要求 设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 5、出租车计价器设计 内容及要求 (1)设一个出租车自动计费器,计费包括起步价、行驶计费和等待计费三个部分,用4个数码管显示出金额数目,最大值为999.9元,最小计价单位为0.1元。行驶里程在3公里范围内且等待时间未超过三分钟时按起步价8元计费;行驶里程超过三公里后按每公里2元收费;等待时间超过三分钟后按每分钟1元收费。等待时间用两个数码管显示,最大值为59分钟。 总费用=起步价+(里程-3km )*里程单价+(等待时间-3)*等候单价 (2)能够实现的功能: 显示汽车行驶里程:用四位数字显示,单位为km 。 计程范围为0~99km ,计程分辨率为1km 。 显示等候时间:用两位数字显示分钟,单位为min 。计时范围为0~59min ,计时分辨率为1min 。

FPGA课程设计报告

F P G A 课 程 设 计 报 告 学部:信息科学与技术学部 专业:通信工程 班级:10级1班 学号:100103011125 姓名:万洁 指导老师:祝宏 合作伙伴:张紫君 2012.12.13

一.《任务书》: 实验一100进制的可逆计数器(11——12周)实验二交通灯控制系统(15周) 实验三多功能数字钟系统(14-15周)二.实验书写格式: 一:题目要求 二:程序代码 三:操作步骤及运行结果截图 四:心得体会 三.实验附录: 一:老师提供的资源 二:关于实验所用EP4CE115F29板的简介

实验一100进制的可逆计数器 一、设计一个可控的100进制可逆计数器,要求用实验箱下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用下,计数器具有复位、增或减计数、暂停功能。 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、程序如下: module keni100(CLR,CLK,PLUS,MINUS,OUT); //100进制的可逆计数器 input CLR,PLUS,MINUS,CLK; output [7:0]OUT; reg [7:0]OUT; always@(posedge CLK) begin if(!CLR) //如果CLR为零,输出为零;反之,运行else程序 OUT[7:0]<=0; else

begin if(PLUS==0 && MINUS==1) //100进制的递减计数 begin if (OUT[3:0]==0) begin OUT[3:0]<=9; if (OUT[7:4]==0) OUT[7:4]<=9; else OUT[7:4]<=OUT[7:4]-1; end else OUT[3:0]<=OUT[3:0]-1; end if(PLUS==1 && MINUS==0) //100进制的递增计数 begin if (OUT[3:0]==9) begin OUT[3:0]<=0; if (OUT[7:4]==9) OUT[7:4]<=0; else OUT[7:4]<=OUT[7:4]+1; end else OUT[3:0]<=OUT[3:0]+1; end if(PLUS==1 && MINUS==1) OUT<=OUT; //若PLUS和MINUS都为1,暂停计数 if(PLUS==0 && MINUS==0) OUT<=0; //若都为零,输出为零end end endmodule 三、运行程序 1、在quarters II9.1输入程序 打开quarters II界面,点击file→New,在出现的对话框,如图1.1所示,选择Text File,点击OK.

操作系统实验指导书汇总

操作系统实验指导书 东北大学软件学院 2008年10月

实验要求 (1)预习实验指导书有关部分,认真做好实验的准备工作。 (2)实验中及时分析记录。 (3)按指导书要求书写实验报告,提交打印版(A4)。 实验的验收将分为两个部分。第一部分是上机操作,包括检查程序运行和即时提问。第二部分是提交的实验报告。

实验一进程调度(4学时) 一、实验目的 在采用多道程序设计的系统中,往往有若干个进程同时处于就绪状态。当就绪进程个数大于处理机数时,就必须依照某种策略来决定哪些进程优先占用处理机。本实验模拟在单处理机情况下的处理机调度,帮助学生加深了解处理机调度的工作。 二、实验类型 设计型。 三、预习内容 预习课本处理机调度有关内容,包括进程占用处理机的策略方法。 四、实验内容与提示 本实验中共有两个实验题。 第一题:编写并调试一个模拟的进程调度程序,采用“最高优先数优先”调度算法对五个进程进行调度。 <一>最高优先级优先调度算法 1)优先级简介 动态优先数是指在进程创建时先确定一个初始优先数,以后在进程运行中随着进程特性的改变不断修改优先数,这样,由于开始优先数很低而得不到CPU 的进程,就能因为等待时间的增长而优先数变为最高而得到CPU运行。 例如:在进程获得一次CPU后就将其优先数减少1。或者,进程等待的时间超过某一时限时增加其优先数的值,等等。 2)详细设计 优先权调度算法: 1、设定系统中有五个进程,每一个进程用一个进程控制块( PCB)表示,

进程队列采用链表数据结构。 2、进程控制块包含如下信息:进程名、优先数、需要运行时间、已用 CPU时间、进程状态等等。 3、在每次运行设计的处理调度程序之前,由终端输入五个进程的“优 先数”和“要求运行时间”。 4、进程的优先数及需要的运行时间人为地指定。进程的运行时间以时 间片为单位进行计算。 5、采用优先权调度算法,将五个进程按给定的优先数从大到小连成就 绪队列。用头指针指出队列首进程,队列采用链表结构。 6、处理机调度总是选队列首进程运行。采用动态优先数办法,进程每 运行一次优先数减“1”,同时将已运行时间加“1”。 7、进程运行一次后,若要求运行时间不等于已运行时间,则再将它加 入就绪队列;否则将其状态置为“结束”,且退出就绪队列。 8、“就绪”状态的进程队列不为空,则重复上面6,7步骤,直到所 有进程都成为“结束”状态。 9、在设计的程序中有输入语句,输入5个进程的“优先数”和“要求 运行时间”,也有显示或打印语句,能显示或打印每次被选中进程 的进程名、运行一次后队列的变化,以及结束进程的进程名。10、最后,为五个进程任意确定一组“优先数”和“要求运行时间”,运行并调试所设计的程序,显示或打印出逐次被选中进程的进程名及其进程控制块的动态变化过程。

实验指导书实验二_SolidWorks建模1

实验二SolidWorks草绘特征和放置特征操作(一) 一、实验目的 1.掌握基本零件建模的一般步骤和方法 2.掌握SolidWorks草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、放样的操 作方法。 3.掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特征、筋的 操作方法 二、实验内容 完成下列下列零件造型 三、实验步骤 1. 连接件设计 完成如图1所示模型。 (1)单击【新建】按钮一1,新建一个零件文件。 (2)选取前视基准面,单击【草图绘制】按钮一I,进入草图绘制,绘制草图,如图2 所示。 图1连接件图2草图 ⑶ 单击【拉伸凸台/基体】按钮,出现【拉伸】属性管理器,在【方向】下拉列表 框内选择【两侧对称】选项,在【深度】文本框内输入" 54mm ”,单击【确定】 按钮,如图3所示。 (4)单击【基准面】按钮一1,出现【基准面】属性管理器,其中第一参考选择图形下底面, 然后单击【两面夹角】按钮日,在【角度】文本框内输入"120°,然后在第二参考中选择 图形的一条下边线。单击【确定】按钮¥,,建立新基准面,如

错误!未找到引用源。所示。 图4建立基准面 (5) 在设计树中右击基准面 1选择“反转法线” 卜,然后再单击基准 面 1单选择 【草图绘制】按钮 ,进入草图绘制,单击【正视于】按钮 ,绘制草图,如图 4所示。 边线 底面 图4草图

(6) 单击【拉伸凸台/基体】按钮 ,出现【拉伸】属性管理 器,在【终止条件】下拉 列表框内选择【给定深度】选项,在【深度】文本框内输入“ 12mm ”,单击【确 定】按钮1 如图5所示。 (7) 选取基体上表面,单击【草图绘制】 按钮_1,进入草图绘制,使用中心线工具 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图 6所示。 图6中心线 (8) 单击【等距实体】按钮丄,出现【等距实体】属性管理器,在【等距距离】文本框 内输入 “8mm ”,在图形区域选择中心线, 在属性管理器中选中 【添加尺寸】、【选 择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】 按钮 ,标注尺寸,完成草图,如图 7所示。 律黑 __________________ 严 玄[B 总 -召 厂[.砲 r 韦歼左眛編◎也 17比自口 R an (A ) 广 Efetfi- 图_7运用“等距实体”绘制草图 (8)单击【拉伸切除】按钮 □,出现【切除-拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮 ,如图8所示。 图5 “拉伸”特征

C语言实验指导书(刘联海20131106)

《C语言程序设计》实验指导书

目录 实验1 C语言编程环境和运行C程序的方法 (1) 实验2 简单的顺序结构程序设计 (3) 实验3 数据类型和表达式 (5) 实验4 选择结构程序设计 (7) 实验5 循环结构程序设计(1) (10) 实验6 循环结构程序设计(2) (13) 实验7 数组 (16) 实验8 函数 (18)

实验1 C语言编程环境和运行C程序的方法 一、实验目的 1、熟悉Visual C6.0集成开发环境的使用方法; 2、熟悉C语言程序从编辑、编译、连接到最后运行得到结果的过程及各过程的作用; 3、了解C语言程序的结构特征与书写规则,能够编写简单的C程序; 4、初步理解程序调试的思想,能找出并改正C程序中的语法错误。 二、实验内容 1、熟悉上机环境 ⑴熟悉VC++6.0集成环境,重点是其中常用菜单、工具按钮的功能; ⑵在D盘建立一个自己的工作文件夹,文件夹以班名+学号后两位+姓名来命名(如:应用1105张三);分别创建、编辑并运行下列程序,初步熟悉C源程序的结构特点和运行方式。 #include main() { printf(“This is my first program!\n") ; } 2、编写一个程序实现分两行输出自己的姓名及联系电话。 3、程序改错调试 以下给定程序的功能是在屏幕上显示“Welcome to You!”,其中存在3处错误,请指出错误所在,并调试程序使其能运行得到正确结果。 #include mian() //1 { printf(Welcome to You!\n") //2 } 4、选做题 ⑴自学教材P47内容以及附录B中的字符与ASCII码对照表,编程输出以下图形: ? ? ⑵编程输出如下通讯录功能菜单界面

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

DDSZ1实验指导书

Tianhuang Teaching Apparatuses 天煌教仪 电机系列实验 DDSZ-1型 电机及电气技术实验装置Motor And Electric Technique Experimental Equipment 实验指导书 天煌教仪 浙江天煌科技实业有限公司

DDSZ-1型电机及电气技术实验装置受试电机铭牌数据一览表

DDSZ-1型电机及电气技术实验装置交流及直流电源操作说明 实验中开启及关闭电源都在控制屏上操作。开启三相交流电源的步骤为: 1)开启电源前。要检查控制屏下面“直流电机电源”的“电枢电源”开关(右下角)及“励磁电源”开关(左下角)都须在“关”断的位置。控制屏左侧端面上安装的调压器旋钮必须在零位,即必须将它向逆时针方向旋转到底。 2)检查无误后开启“电源总开关”,“关”按钮指示灯亮,表示实验装置的进线接到电源,但还不能输出电压。此时在电源输出端进行实验电路接线操作是安全的。 3)按下“开”按钮,“开”按钮指示灯亮,表示三相交流调压电源输出插孔U、V、W及N上已接电。实验电路所需的不同大小的交流电压,都可适当旋转调压器旋钮用导线从这三相四线制插孔中取得。输出线电压为0-450V(可调)并可由控制屏上方的三只交流电压表指示。当电压表下面左边的“指示切换”开关拨向“三相电网电压”时,它指示三相电网进线的线电压;当“指示切换”开关拨向“三相调压电压”时,它指示三相四线制插孔U、V、W和N输出端的线电压。 4)实验中如果需要改接线路,必须按下“关”按钮以切断交流电源,保证实验操作安全。实验完毕,还需关断“电源总开关”,并将控制屏左侧端面上安装的调压器旋钮调回到零位。将“直流电机电源”的“电枢电源”开关及“励磁电源”开关拨回到“关”断位置。 开启直流电机电源的操作: 1)直流电源是由交流电源变换而来,开启“直流电机电源”,必须先完成开启交流电源,即开启“电源总开关”并按下“开”按钮。 2)在此之后,接通“励磁电源”开关,可获得约为220V、0.5A不可调的直流电压输出。接通“电枢电源”开关,可获得40~230V、3A可调节的直流电压输出。励磁电源电压及电枢电源电压都可由控制屏下方的1只直流电压表指示。当将该电压表下方的“指示切换”开关拨向“电枢电压”时,指示电枢电源电压,当将它拨向“励磁电压”时,指示励磁电源电压。但在电路上“励磁电源”与“电枢电源”,“直流电机电源”与“交流三相调压电源”都是经过三相多绕组变压器隔离的,可独立使用。 3)“电枢电源”是采用脉宽调制型开关式稳压电源,输入端接有滤波用的大电容,为了不使过大的充电电流损坏电源电路,采用了限流延时的保护电路。所以本电源在开机时,从电枢电源开合闸到直流电压输出约有3~4秒钟的延时,这是正常的。 4)电枢电源设有过压和过流指示告警保护电路。当输出电压出现过压时,会自动切断输出,并告警指示。此时需要恢复电压,必须先将“电压调节”旋钮逆时针旋转调低电压到正常值(约240V以下),再按“过压复位”按钮,即能输出电压。当负载电流过大(即负载电阻过

《面向对象程序设计》实验指导书(实验二)

实验二类与对象㈡——对象初始化、对象数据与指针 一、实验目的 1.理解构造函数、析构函数的意义及作用,掌握构造函数、析构函数的定义及调用时间,熟悉构造函数的种类; 2.理解this指针及使用方法,熟悉对象数组、对象指针、对象引用的定义及使用方法,熟悉对象作为函数参数的使用方法; 3.熟悉类与对象的应用及编程。 二、实验学时 课内实验:2课时课外练习:2课时 三本实验涉及的新知识 ㈠构造函数与析构函数 在C++中,提供了两个特殊的成员函数,即构造函数和析构函数。 构造函数用于对象的初始化,即在定义一个类对象时,计算机在给对象分配相应的存储单元的同时,为对象的数据成员赋初值。 析构执行的是与构造函数相反的操作,用于撤销对象的同时释放对象所占用的内存空间。 1.构造函数 ⑴构造函数的定义 格式: 类名(形参表) { 构造函数体} ⑵构造函数的调用 构造函数的调用是在定义对象时调用的。 格式:类名对象名(实参表); 类名对象名=构造函数名(实参表); ⑶说明 ①构造函数必须与类同名。 ②构造函数没有返回值,但不能在构造函数前加void类型符(其他没有返回值的成员函数必须加类型符void)。 ③在实际应用中,在定义类时通常应定义一至多个构造函数(重载),以对各数据成员进行初始化;如果不给出构造函数,系统将自定义一个构造函数。 ④构造函数可以可以带参数,也可不带任何参数(称无参构选函数),还可以使用缺省参数。 ⑤不能象普通成员函数一样单独调用。 2.析构函数 ⑴析构函数的定义 格式: ~类名(void) { 析构函数体} ⑵析构函数的调用 析构函数是在撤销对象时自动调用的。 ⑶说明

PLC实验指导书

可编程序控制器(PLC)实验指导书 华晨辉编 电子科技大学成都学院电子信息工程系 2010年11月

前言 《可编程序控制器(PLC)实验指导书》是根据专业课程《机电一体化现代设计方法》的教学大纲以及教学计划,共安排16个课时的实验学习课程。 《可编程序控制器(PLC)实验指导书》基于GX-DEVELOPER创建PLC程序的软件及GX Simulator调试的软件,实验中安排学生首先学习使用GX-DEVELOPER 软件进行梯形图制作,掌握PLC基本编程技能和操作方法,然后学会使用GX Simulator调试的软件仿真PLC实例,掌握在实际应用中PLC的输入输出及时序图控制等基本操作,为同学们在今后工程实践中的软件应用打下良好的基础。 编者

实验一 PLC的工程及梯形图的制作 【实验目的】 1.熟悉GX-DEVELOPER编程PLC软件。 2.学会在GX-DEVELOPER软件中创建、打开、保存、结束PLC工程。 3.掌握梯形图制作步骤。 【实验内容】 1.熟悉GX-DEVELOPER编程软件界面; 【项目说明】: ①. 工程名。(图示中“工程未设置”是指还未命名该工程) ②. 工程数据一览:是指将工程内的数据按类别用浏览的形式表示; 在其任意一项数据上按右键可以对该工程数据进行新建/复制/删除/改变数据名等操作; 工程数据一览可以用左键拖动来改变其放置的位置,也可以改变其大小。 ③. 单击此处可以关闭工程数据一览。 ④. 单击此项目符号可以显示/不显示工程数据一览。 ⑤. 梯形图程序编写栏。 ⑥. 创建梯形图的工具按钮。 2.创建工程; 【设定要求】:新建工程时,首先需设定必要的PLC系列名、PLC类型和工程名。 【操作步骤】:[工程]——[新建工程];或者点击工具按钮或者用快捷键Ctrl+N。 【项目说明】: ①. PLC系列:点击下拉菜单可以选择适当的PLC系列。 ②. PLC类型:点击下拉菜单可以选择特定PLC系列的类型。 ③. 程序类型:可以选择梯形图或SFC程序。

实验指导书模板2

请大家按照以下要求更改自己负责的实验(实训)指导书 一、最大标题1 宋体二号加粗居中单倍行距两个空格 二、最大标题2 宋体三号加粗居中 1.5倍行距两个空格 三、一级标题宋体小四加粗两端对齐首行缩进2个字符 1.5倍行距顶格 四、正文宋体小四常规两端对齐首行缩进2个字符 1.5倍行距 五、正文页边距左、右、上2.5cm。下2.1cm 六、注意事项: 1.最重要的是内容不能出错,前后语句要连贯,意思表达完成。 2.标点符号要使用准确。 3.每个结束句子后面要有句号。 4.所有标题号手动输入,不能自动生成。 5.标题级别:一、(一)1.(1)① 七、请大家在群里原文件更改,更改后的文件写上姓名+负责课程(王旭霞+人体解剖学) 八、附件一、附件二模板。

实验指导书模板二: 第二部分内科护理学(最大标题1) 实训一胸腔穿刺术(最大标题2) 一、实训目的(一级标题) (一)胸腔积液性质不明者,抽取积液检查,协助病因诊断。(二级标题) (二)胸腔内大量积液或积气者,抽取积液或积气,以缓解压迫症状,避免胸膜粘连增厚。 (三)脓胸抽脓灌洗治疗,或恶性胸腔积液需胸腔内注人药物者。 二、实训仪器 (一)胸腔穿刺包:内含弯盘2个、尾部连接乳胶管的16号和18号胸腔穿刺针各1根、中弯止血钳4把孔巾1块、巾钳2把、棉球10个纱布2块、小消毒杯2个、标本留置小瓶5个。 (二)消毒用品:2.5%碘酊和75%酒精,或0.5%碘伏。 (三)麻醉药物:2%利多卡因5ml。 三、实训内容 (一)患者体位抽液时,协助患者反坐于靠背椅上,双手放椅背上或取坐位,使用床旁桌支托;亦可仰卧于床上,举起上臂;完全暴露胸部或背部。如患者不能坐直,还可来用侧卧位,床头抬高30°抽气时,协助患者取半卧位。 (二)穿刺部位一般胸腔积液的穿刺点在肩胛线或腋后线第7-8肋间隙或腋前线第5肋间隙。气胸者取患侧锁骨中线第2肋间隙或腋前线第4-5肋间隙进针。 (三)穿刺方法常规消毒皮肤,局部麻醉。术者左手食指和拇指固定穿刺部位的皮肤,右手将穿刺针在局部麻醉处沿下位肋骨上缘缓慢刺人胸壁直达胸膜。连接注射器,在助手协助下抽取胸腔积液或气体,穿刺过程中应避免损伤脏层胸膜,并注意保持密闭,防止发生气胸。术毕拔出穿刺针,再次消毒穿刺点后,覆盖无菌敷料,稍用力压迫容刺部位片刻。 四、注意事项 (一)术前护理 1.心理准备向患者及家属解释穿刺目的操作步骤及术中注意事项,协助患者做好

(完整版)基于FPGA的温度传感器课程设计

FPGA课程设计论文 学生姓名周悦 学号20091321018 院系电子与信息工程学院 专业电子科学与技术 指导教师李敏 二O一二年5月28 日

基于FPGA的温度传感器系统设计 1引言 温度是一种最基本的环境参数,人们的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。测量温度的关键是温度传感器,温度传感器的发展经历了三个发展阶段:传统的分立式温度传感器;模拟集成温度传感器;智能集成温度传感器。目前,国际上新型温度传感器正从模拟式向数字式,从集成化向智能化、网络化的方向飞速发展。本文将介绍采用智能集成温度传感器DS18B20,并以FPGA为控制器的温度测量装置的硬件组成和软件设计,用液晶来实现温度显示。 2电路分析 系统框图如下: 第一部分:DS18B20温度传感器 美国 Dallas 半导体公司的数字化温度传感器 DS1820 是世界上第一片支持 "一线总线"接口的温度传感器,在其内部使用了在板(ON-B0ARD)专利技术。全部传感元件及转换电路集成在形如一只三极管的集成电路内。一线总线独特而且经济的特点,使用户可轻松地组建传感器网络,为测量系统的构建引入全新概念。现在,新一代的 DS18B20 体积更小、更经济、更灵活。使你可以充分发挥“一线总线”的优点。 DS18B20 的主要特性:(1)适应电压范围更宽,电压范围:3.0~5.5V,在寄生电源方式下可由数据线供电(2)独特的单线接口方式,DS18B20 在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20 的双向通讯(3)DS18B20 支持多点组网功能,多个DS18B20 可以并联在唯一的三线上,实现组网多点测(4)DS18B20 在使用中不需要任何外

数据库应用实验指导书

实验指导书 院系: 专业: 课程:大学计算机II-Access数据库应用编者:大学计算机基础教研室

目录 实验一建表 (1) 实验二简单查询 (5) 实验三创建分组查询 (7) 实验四报表的设计 (10) 实验五利用窗体控件手动设计窗体 (12) 实验六设计嵌入式条件宏 (14) 实验七创建一个“跑动的字母”的窗体程序 (16) 实验八实验七的改进程序 (18)

实验一建表 一、实验目的 1.认识Access数据库的基本功能,学会如何利用和不利用模板 创建数据库。 2.练习数据表创建的方法,并能根据需要灵活的创建数据表。 3.能够为建立的表添加表关系。 二、实验要求 1.启动Access,2010,利用“罗斯文”模板建立Access数据库, 观察数据库的主要功能与主要组成部分。 2.新建空白数据库,并在该数据库中新建“客户”表、“员工” 表,“产品”表、“订单”表和“订单明细”表。 3.为建立的5个数据表建立关系。 三、实验原理 利用Access,2010创建一个数据库,并将其保存。创建表和表的关系。 四、实验步骤 1.利用“罗斯文”模板,建立Access数据库,命名为罗斯文1。

2. 新建空白数据库,命名为MyDB1。 3. 在MyDB1中创建4个表:客户、员工、订单、订单明细。 客户表设计视图 客户表各字段及其属性 员工表各字段及其属性

产品表各字段及其属性 订单表各字段及其属性 订单明细表各字段及其属性 4.为“客户”表、“员工”表,“订单”表和“订单明细”建立表 间关系。通过数据库工具-关系-显示表,选择以上四个表,将“客户”表的“ID”字段拖动到“订单”表的“客户ID”处,松开鼠标后,系统弹出“编辑关系”对话框。勾选“实施参照完整性”、“级联更新相关字段”和“级联删除相关记录复选框”。

相关主题
文本预览
相关文档 最新文档