当前位置:文档之家› 3位数字显示计时定时器

3位数字显示计时定时器

3位数字显示计时定时器
3位数字显示计时定时器

3位数字显示计时定时器

1 3位数字显示计时定时器概述

3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。而本课题中设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路。

该数字系统具有计时功能。能随时控制计时器的启动和停止,保持计时显示结果。还具备开机自动复零功能。它的最大显示时间为9分59秒,计时和定时时间都是精确到秒。

2 3位数字显示计时定时器系统设计

2.1系统框图

由技术指标要求可知,该数字系统的功能主要是实现可控计时和定时报警。为此,可将系统分解为下列几个部分组成:

(1)秒脉冲时间标准产生电路。由振荡器产生固定频率的矩形脉冲经分频器获得秒脉冲,提供计时和定时的时间标准(时标信号)。

(2)计数器、译码器和显示器。由于最大计时器容量为9分59秒,因此,需要3位计数器。最低位为秒个位,次低位为秒十位,最高位为分位。秒个位对输入的秒脉冲进行计数,其进位信号送至秒十位计数,最后送给分位计数,并通过译码器和显示器显示出所计的时间。

(3)开机自动清零电路。提供开机清零信号,使电路的初态为0态。

(4)计时启停控制电路。提供控制振荡信号能否进入分频器的控制信号。

图一计时定时器总体方框图

2.2单元电路原理分析

2.2.1 秒脉冲时标信号产生电路

选用由CMOS集成门组成的RC振荡电路,以产生固定频率的矩形脉冲信号,经分频器分频后输出为1Hz的秒脉冲时标信号。电路如图二所示。

振荡电路生成的脉冲对动态扫描显示电路影响不大,这里选用门电路构成的多谐振荡器输出的脉冲作用为节拍发生器的时钟。如图三所示是由4096构成的方波自激振荡器电路。R t是振荡电阻,C t是振荡电容,R s是补充电阻。

设非门的阈值电压是U TH。设在t=0,U il=0小于U TH,G1门关闭,U01为高电平V DD,G2门开通,U0跃为低电平。这时,U01的高电平经R t会对电容C t充电,随着充电过程的继续,A点电压按指数上升,同时U il也会随着指数上升。当U il大于阈值U TH时,G1门开通U01跃为低电平,U0跃为高电平V DD,C t开始放电,随后因为U01跃为高电平又开始反向放电,这时U il又以指数规律下降,当小于阈值电压U TH时,U01又变为高电平V DD。如此反复就可输出矩形震荡波。振荡频率为

f=1/2.2R t C t

所以图三的振荡电路输出的频率约为80.59Hz。

图二秒脉冲时标信号产生电路

图三门电路构成的多谐振荡器

2.2.2 计数器和译码显示器

计数部分采用3位数计数器。计数体制分别为:秒个位计数应逢十进一,秒十位计数应逢六进一,分别计数应逢十进一。其中这里重点介绍一下十进制同步加/减计数器。

CC4518为BCD加计数器,该器件由两个相同的同步4级计数器组成。计数器为D型触

发器。具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数。在单个单元运算中,EN输入保持高电平,且在CP上升沿进位。CP线为高电平时,计数器清零。

计数器在脉动模式可级联,通过将Q3连接至下一计数器的EN输入端可实现级联。同时后者的CP输入保持低电平。如图四所示CC4518的逻辑符号图

图四 CC4518的逻辑符号图

译码显示部分可由3块BCD7段锁存/译码/驱动器和3块半导体数码管组成,以显示所计时间。

图五计数器电路

图六译码显示器电路

2.2.3 开机自动清零电路

该电路主要由RC电路和门电路组成,如图七所示。在接通电源后,当电容上的电压由0被迅速充到G1的开门电平时,G3输出由高电平变为低电平,并加到分频器和计数器的复位端,使它们作好计时的准备。

图七开机自动清零电路

2.2.4 计时启停输入控制电路

电路如图八所示。开机清零后,D触发器处于Q=1,/Q=0的1状态,控制门处于关闭状态。当按一下计时启停按钮S1时,在CP端出现一个正跃变,使触发器处于Q=0,/Q=1的0状态,控制门打开,振荡器输出信号经分频器产生秒脉冲进入计数器。此时若再次按一下启停按钮S1,则因CP端又出现一个正跃变,D触发器返回1状态,使控制门再次关闭,计数器停止计数。

图八计时启停输入控制电路

2.2.5 总体电路

控制器与各子系统进行连接,如图九是整体电路。

图九整体电路

3 总结

在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.老师将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛.

通过这次测试技术的实验,使我学到了不少实用的知识,更重要的是,做实验的过程,思考问题的方法,这与做其他的实验是通用的,真正使我们受益匪浅.

在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。如果你在实验这方面很随便,抱着等老师教你怎么做,拿同学的报告去抄,尽管你的成绩会很高,但对将来工作是不利的。比如在做回转机构实验中,经老师检查,我们的时域图波形不太合要求,我首先是改变振动的加速度,发现不行,再改变

采样频率及采样点数,发现有所改善,然后不断提高逼近,最后解决问题,兴奋异常。在写

实验报告,对于思考题,有很多不懂,于是去问老师,老师的启发了我,其实答案早就摆在报告中的公式,电路图中,自己要学会思考。

5参考文献

《电子技术课程设计》杨忠志主编出版社:机械工业出版社

《高频电子线路实验及综合设计》杨霓清主编出版社:机械工业出版社

《高频电路原理与分析》曾兴雯、刘乃安、陈健编出版社:西安电子科技大学出版社《模拟电子技术基础》童诗白、华成英主编出版社:高等教育出版社

《电工电子技术实验》朱庆欢、邓友娥主编出版社:暨南大学出版社

单片机课程设计6位数字显示计时(DOC)

单片机 课程设计报告书 课题: 6 位数显频率计数器院(系):机电工程学院 专业:机械设计制造及其自动化 姓名: 学号: 2014年1月14日

目录 一、前言---------------------------------------------------- 1.1、课程设计任务------------------------------------------------ 1.2、课程设计任务完成要求------------------------ 1.3、设计目的----------------------------------------------------- 二、方案提出与论证------------------------------------- 2.1频率测量原理与方法----------------------------------- 三、系统硬件设计---------------------------------------- 3.1电路原理图总图------------------------------------------------- 3.1.1电源供电电路-------------------------------------------------- 3.1.2 单片机时钟电路---------------------------------------------- 3.1.3单片机复位电路----------------------------------------------- 3.1.4显示及驱动电路----------------------------------------------- 3 .2 AT89C51单片机芯片的功能及其参数------------------ 四、系统软件设计---------------------------------------- 4.1软件流程图------------------------------------------------------- 4.2程序调试及误差分析------------------------------------------- 五、制作PCB板及焊接元件调试-------------------- 六、元器件明细表---------------------------------------- 七、实验总结------------------------------- 八、参考文献----------------------------------------------

三位数显示计时器定时器数电课程设计

文档大全 沈阳航空航天大学 课程设计三位数字显示计时器定时器设计 班级 学号 学生姓名 指导教师

文档大全 沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目三位数字显示计时器定时器设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个三位数字显示计时器、定时器电路,技术指标如下: ①计时、定时能够任意启停,保持计时、定时结果; ②开机自动复位; ③最大显示时间为9分59秒; ④设置时间,定时报警; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

文档大全 成绩评定表: 序号 评定项目 评分成绩 1 设计方案正确,具有可行性,创新性( 15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2016年 12月 31日

文档大全 一、概述 此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用, 本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。 二、方案论证 方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED 进行报警最后使用显示译码器显示计数。所以一共有四部分组成。 图1 总体电路的原理框图 方案二: 方案二采用单片机编程进行设计实现计时器或者定时器的设计。

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

555定时器芯片工作原理

555定时器芯片工作原理,功能及应用 -------------------------------------------------------------------------------- - 555定时器芯片工作原理,功能及应用 555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。 一、555定时器 555定时器产品有TTL型和CMOS型两类。TTL型产品型号的最后三位都是555,CMOS 型产品的最后四位都是7555,它们的逻辑功能和外部引线排列完全相同。 555定时器的电路如图9-28所示。它由三个阻值为5k?的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电晶体管T、与非门和反相器组成。 电压比较器的功能:比较两个电压的大小(用输出电压的高或低电平,表示两个输入电压的大小关系): 当”+”输入端电压高于”-”输入端时,电压比较器输出为高电平; 当”+”输入端电压低于”-”输入端时,电压比较器输出为低电平 图9-28 555定时器原理图 分压器为两个电压比较器C1、C2提供参考电压。如5端悬空,则比较器C1的参考电压为,加在同相端;C2的参考电压为,加在反相端。 是复位输入端。当=0时,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。正常工作时,=1。

u11和u12分别为6端和2端的输入电压。当u11>,u12> 时,C1输出为低电平,C2输出为高电平,即=0,=1,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。 当u11<,u12< 时,C1输出为高电平,C2输出为低电平,=1,=0,基本RS触发器被置1,晶体管T截止,输出端u0为高电平。 当u11<,u12> 时,基本RS触发器状态不变,电路亦保持原状态不变。 综上所述,可得555定时器功能如表9-13所示。 表9-13 555定时器功能表 输入输出 复位u11 u12 输出u0 晶体管T 0 ××0 导通 1 > > 0 导通 1 < < 1 截止 1 < > 保持保持 一、555定时器的应用 1.单稳态电路 前面介绍的双稳态触发器具有两个稳态的输出状态和,且两个状态始终相反。而单稳态触发器只有一个稳态状态。在未加触发信号之前,触发器处于稳定状态,经触发后,触发器由稳定状态翻转为暂稳状态,暂稳状态保持一段时间后,又会自动翻转回原来的稳定状态。单稳态触发器一般用于延时和脉冲整形电路。 单稳态触发器电路的构成形式很多。图9-29(a)所示为用555定时器构成的单稳态触发器,R、C为外接元件,触发脉冲u1由2端输入。5端不用时一般通过0.01uF电容接地,以防干扰。下面对照图9-29(b)进行分析。

三位数字显示计时器

专业班级 学号 姓名 成绩 一、实验名称 3位数字显示计时系统 二、设计任务与要求 设计一个3位数字显示时间计数系统,以供运动员比赛用。要求精确到秒最大计时9分59秒。可以用按钮开关控制计时器的启动、停止及清零,开机时可以自动清零。 三、实验器件 1.74LS90 74LS08 2.数字电路试验箱 四、方案设计 数字显示计时系统是通过控制电路使用加法计数器对连续脉冲进行计数, 而加法计数器通过译码器来显示它所记忆的脉冲周期个数。 1.连续脉冲产生 连续脉冲可选用555定时器构成的多谐振荡器产生,用555定时器构成的多谐振荡器,定时元件是电阻和电容,普通器件误差较大易受温度变化的影响,对于对时间要求高的应用场合,其误差较大。连续脉冲也可选用石英晶体振荡器通过计数器分频产生,可获得精确的秒脉冲信号。 2.技术及译码显示 加法计数器构成电子秒表的计数单元,首先用一个分频器对多谐振荡器产生的脉冲信号进行分频,然后将输出端取得周期为1s 的矩形脉冲送入计数器中,计数器都接成8421码十进制形式,其输出端与译码显示单元的相应输入端连接,可显示0~59秒;0~9分计时。 3.控制部分 控制部分用来控制计时器的清零、计时、停止。采用三位环形计数器来实现,环形计数器的输出分别作为计数部分的清零信号、计时信号和停止信号。 ……………………………………装………………………………………订…………………………………………线………………………………………

五、实验原理 1.系统框图如下 2.系统工作原理 实现一个三维数字显示的秒表系统,需要振荡器(脉冲冲源)、秒计数电路季译码显示电路等组成部分。秒计数电路满60向分计数电路进位(显示00~59s ),分计数电路满足10(显示0~9)后清零,等待重新计时。控制开关为两个;启动(继续)/暂停计时开关和复位开关。其中: (1)显示器:采用三片LED 显示器把各位的数值显示出来,是秒表最终的输出,有分、秒和毫秒位。 (2)计数器:对时钟信号进行计数并进位,毫秒和秒之间10进制,秒和分之间60进制。 (3)译码器:对脉冲计数进行译码输出到显示单元中; 3.元器件简介 74LS90计数器是一种中规模二一五进制计数器,管脚引线及其功能表如下。 输入 输出 CP R 01 R 02 S 91 S 92 Q D Q C Q B Q A × 1 1 0 × 0 0 0 0 1 1 × 0 0 0 0 0 × × 1 1 1 0 0 1 ↓ × 0 × 0 计数 0 × 0 × 0 × × 0 × 0 0 ×

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

AVR定时器中断初值计算方法

AVR定时器中断初值计算方法 使用芯片ATMega16外部晶振 定时器1(16位定时器)寄存器TCCR1B=0x04设定256预分频要利用定时器定时1秒 1,4000000/256=15625说明定时器每当1/15625秒就会触发一次中断 2,65535-15625=49910计算出要累加多少次才能在1秒后出发定时器1的溢出中断 3,49910<==>C2F6将计算后的值换算成16进制 4,TCNT1H=0xC2;对寄存器赋值 TCNT1L=0xF6; ================================================= 例如用16位定时器TIMER1,4MHZ晶振,256分频,100ms定时,如何求得初值赋给TCNT1 65536-(4M/256)*= 其中,4M是晶体频率,是定时时长单位秒。 对于8位的定时器 T=(2^8-计数初值)*晶振周期*分频数=(2^8-计数初值)/晶振频率*分频数计数初值=2^8-T/晶振周期/分频数=2^8-T*晶振频率/分频数

因为AVR一指令一周期 IARForAVR精确延时 C语言中,想使用精确的延时程序并不容易。IAR中有这样的一个函数__delay_cycles(),该函数在头文件中定义,函数的作用就是延时N个指令周期。根据这个函数就可以实现精确的延时函数了(但不能做到100%精确度)。 实现的方法: 建立一个的头文件: #ifndef__IAR_DELAY_H #define__IAR_DELAY_H #include<> #defineXTAL8//可定义为你所用的晶振频率(单位Mhz) #definedelay_us(x)__delay_cycles((unsignedlong)(x*XTAL)) #definedelay_ms(x)__delay_cycles((unsignedlong)(x*XTAL*1000)) #definedelay_s(x)__delay_cycles((unsignedlong)(x*XTAL*1000000 )) #endif

通电延时定时器(TON)指令工作原理

**************************************************************************(1)通电延时定时器(TON )指令工作原理 程序及时序分析如图4-41所示。当I0.0接通时即使能端(IN )输入有效时,驱动T37开始计时,当前值从0开始递增,计时到设定值PT 时,T37 状态位置1,其常开触点T37接通,驱动Q0.0输出,其后当前值仍增加,但不影响状态位。当前值的最大值为32767。当I0.0分断时,使能端无效时,T37复位,当前值清0,状态位也清0,即回复原始状态。若I0.0接通时间未到设定值就断开,T37则立即复位,Q0.0不会有输出。 (2)记忆型通电延时定时器(TONR )指令工作原理 使能端(IN )输入有效时(接通),定时器开始计时,当前值递增,当前值大于或等于预置值(PT )时,输出状态位置1。使能端输入无效(断开)时,当前值保持(记忆),使能端(IN )再次接通有效时,在原记忆值的基础上递增计时。 注意:TONR 记忆型通电延时型定时器采用线圈复位指令R 进行复位操作,当复位线圈有效时,定时器当前位清零,输出状态位置0。 程序分析如图4-42所示。如T3,当输入IN 为1时,定时器计时;当IN 为0时,其当前值保持并不复位;下次IN 再为1时,T3当前值从原保持值开始往上加,将当前值与设定值PT 比较,当前值大于等于设定值时,T3状态位置1,驱动Q0.0有输出,以后即使IN 再为0,也不会使T3复位,要使T3复位,必须使用复位指令。 PT I0.0 T37当前值 Q0.0 最大值32767 图4-41 通电延时定时器工作原理分析 LD I0.0 TON T37,100 LD T37 = Q0.0

数电课程设计-30秒倒计时器

30秒倒计时计数器设计 ——数字电子计数基础课程设计 学院:计算机学院 专业班级:通信工程10-2班 时间:2013年1月7日

目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9)

参考文献 …………………………………………………………………………………10 附录: 一、电路原理图 .................................................................................11 二、元器件明细表 (11) 设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1、具有30秒倒计时功能; 2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3、计时器计时间隔为1秒; 4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5、计时器暂停计数时,数码管闪烁提醒; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 倒计时计数器原理组成框图 秒定时振荡 发生器 减法计数器 数码管译码器 七段数码管显示 控制电路 闪烁报警电路

三位数字显示的计时系统_课程设计

三位数字显示的计时系统设计

正文 1、设计总体思路 1.1课程设计要求 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1)精确到秒,最大计时为9分59秒; 2)开机时自动清零; 3)具有启停输入控制功能,按下启停输入控制键时,开始计时,再次按 下时,停止计时。 4)用7段数码管显示时间; 5)功能扩展(自选) 1.2设计目的 1)进一步熟悉和掌握常用数字电路元器件的应用。 2)巩固加深理解数字电路的基本理论知识,学习基本理论在实践中综合 运用的初步经验,掌握数字电路系统设计的基本方法及在面板上接线的方法、技术、要注意的问题。 3)培养数字电路实物制作、调试、测试、故障查找和排除的方法。 4)培养细致、认真做实验的习惯。 5)培养实践技能,提高分析解决实际问题的能力。 6)学会运用仿真软件进行电路仿真。 7)熟悉555定时器产生脉冲的应用。

1.3设计总体思路 1)主电路 用3个7段数码管显示时间,3块74LS192同步加减计数器实现计时功能,时钟脉冲用555定时电路产生秒脉冲,1块74LS76实现清零和启停功能。 2)控制电路 按下清零按钮后,使74LS192的清零端为高电平,使其清零。 按下暂停/继续按钮后,使74LS192的CP端为高电平,不再有上升沿输入,使其保持之前的数据不变,再次按下按钮后,74LS192的CP端为 低电平,当下一个高电平输入时,将有上升沿,使74 LS192计数,从而实现暂停/继续功能。 2、基本原理及框图 1)基本原理 开机启动后,给第3块74LS192一个信号,当上升沿到来时,74LS192的输出端输出加1,直到输出为9时,产生进位信号,送到第2块74LS192的UP端,直到第2块74LS192的输出为6时,使其置数为0,同时给第一块74LS192的UP端一个信号使其输出加1,循环进行。74LS192的功能表见下表。

三位数字显示计时系统

一、任务与要求: 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1、精确到秒,最大计时为9分59秒; 2、开机时自动清零; 3、具有启停输入控制功能,按下启停输入控制键时,开 始计时,再次按下时,停止计时。 4、用7段数码管显示时间; 5、功能扩展(自选) 二、设计要求: 1、设计思路清晰,给出整体设计框图; 2、设计各单元电路,给出具体设计思路、电路器件; 3、总电路设计; 4、计算机仿真 5、安装调试电路;

目录 一. 设计总体思路 (1) 二. 单元电路设计 (2) 三. 总电路设计 (3) 四. 故障分析与改进 (4) 五. 总结与设计调试体会 (5) 六. 附录 (6)

一. 设计总体思路 设计说明: 三位数字显示计数系统电路一般由时钟信号输入装置(发生秒脉冲)、计数器、数字显示装置、和控制电路几大部分组成。秒信号和控制信号送入计数器使其进行计数及其他状态切换,把计数的结果在显示译码器中以秒的十进制数字显示出来。控制电路完成计数器的直接清零、启动计数、暂停/连续计数等状态切换,本电路的秒脉冲可采用555集成电路产生脉冲信号。 此计时系统是由555定时振荡器接成的多谐振荡器产生稳定的高频脉冲信号作为时间基准,再经分频器输出标准秒脉冲.秒计数器计满60后向分计数器进位.计数器的输出经译码器送显示器.图(1)所示为电路流程图 图(1)

1.晶体振荡器电路给计时器提供一个频率稳定准确的32768Hz的方波信号,可保证计时器的走时准确及稳定。不管是指针式的计时器还是数字显示的计时器都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(2)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R 1 为非门提供偏置,使电路工作于放大区 域,即非门的功能近似于一个高增益的反相放大器。电容C 1、C 2 与晶体构成一 个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。 图(2) CMOS 晶体振荡器(仿真电路) 2. 时间计数电路一般采用10进制计数器如74LS160N来实现时间计数单元的计数功能。本次设计中选择74LS160N。 由其内部逻辑框图可知,其为双2-5-10 异步计数器,并每一计数器均有一个异 步清零端(高电平有效)。 3.译码驱动及显示单元电路.选择LED数码管作为显示单元电路。由译码器把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。 计数器实现了对时间的累计并以8421BCD码的形式输送到译码器芯片,再由译码器芯片把BCD码转变为十进制数码送到数码管中显示出来

51单片机定时器初值的计算

51单片机定时器初值的计算 一。10MS定时器初值的计算: 1.晶振12M 12MHz除12为1MHz,也就是说一秒=1000000次机器周期。10ms=10000次机器周期。65536-10000=55536(d8f0) TH0=0xd8,TL0=0xf0 2.晶振11.0592M 11.0592MHz除12为921600Hz,就是一秒921600次机器周期,10ms=9216次机器周期。 65536-9216=56320(dc00) TH0=0xdc,TL0=0x00 二。50MS定时器初值的计算: 1.晶振12M 12MHz除12为1MHz,也就是说一秒=1000000次机器周期。50ms=50000次机器周期。65536-50000=15536(3cb0) TH0=0x3c,TL0=0xb0 2.晶振11.0592M 11.0592MHz除12为921600Hz,就是一秒921600次机器周期,50ms=46080次机器周期。 65536-46080=19456(4c00) TH0=0x4c,TL0=0x00 三。使用说明 以12M晶振为例:每秒钟可以执行1000000次机器周期个机器周期。而T 每次溢出 最多65536 个机器周期。我们尽量应该让溢出中断的次数最少(如50ms),这样对主程序的干扰也就最小。开发的时候可能会根据需要更换不同频率的晶振(比如c51单片机,用11.0592M的晶振,很适合产生串

口时钟,而12M晶振很方便计算定时器的时间),使用插接式比较方便。 51单片机12M和11.0592M晶振定时器初值计算 2011-01-04 22:25 at89s52,晶振频率12m 其程序如下: 引用代码:#include #include void timer0_init() { TMOD=0x01;//方式1 TL0=0xb0; TH0=0x3c; TR0=1; ET0=1; } void timer0_ISR(void) interrupt 1 { TL0=0xb0; TH0=0x3c;//50ms中断一次 single++; if(single==20) { kk++; single=0; } } void main() { int kk=0;//计数器 int single=0; timer0_init(); } TL0=0xb0; TH0=0x3c; 这两个是怎么算出来得

电路CAD课程设计 三位数字显示器

太原理工大学现代科技学院电路CAD 课程设计 设计名称三位数字显示器 专业班级 学号 姓名 指导教师

太原理工大学现代科技学院 课程设计 太原理工大学现代科技学院 课程设计任务书 指导教师签名: 日期 专业班级 学生姓名 课程名称 电路CAD 设计名称 三位数字 显示器 设计周数 1.5周 指导教师 设计 任务 主要 设计 参数 设计任务: 1. 三位数字显示器 2. 实现九分五十九秒计时 设计参数: 脉冲频率:1.024kHz 的方波源 设计内容 设计要求 1.设计电路并绘制原理图; 2.对原理图进行规则检查并产生报告; 3.对原理图进行仿真; 4.生成网络表; 5.规划电路板; 6.设置布线规则; 7.绘制出PCB 板图; 8.写出设计报告 主要参考 资 料 [1]康华光,电子技术基础,北京,高等教育出版社,2003年 [2]何小艇,电子系统设计,杭州,浙江大学出版社,2001年6月 [3]徐志国,荀殿栋,数字电路设计实用手册,电子工业出版社,2003年4月 [4]陈大钦,电子技术基础实验,北京,高等教育出版社,2008年7月 [5]电子元件数据搜索引擎http ://https://www.doczj.com/doc/e64869539.html,/ [6]郑鹏思,林远长,董前程,等编著,Protel 99SE 入门与典型实例,北京,人民邮电出版社 [7]电路CAD 讲义.萧宝瑾.太原理工大学 学生提交 归档文件 见课程设计报告

三位数字显示器 摘要 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。人们对它的认识也逐步加深。在秒表的设计上功能不断完善,在时间的设计上不断的精确,人们也利用了电子技术以及相关的知识解决了一些实际问题。电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合,如测定短时间间隔的仪表。秒表有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面。目前数字电子技术已经广泛地应用于计算机、自动控制、电子测量仪表、电视、雷达、通信等各个领域。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会。本设计就是利用所学到的电子元器件将脉冲源用数码管显示出来以制成简易的数字秒表。 关键词数字秒表计时

定时器的结构和工作原理

13.1 555定时器的结构和工作原理本节重点: (1)脉冲的基本知识 (2)555电路的组成结构和工作原理 (3)555芯片引脚图 (4)555电路功能表 (5)555电路的典型应用 本节难点: (1)555的内部电路组成和工作原理 (2)555电路的典型应用 引入:555定时器电路是一种中规模集成定时器,目前应用十分广泛。通常只需外接几个阻容元件,就可以构成各种不同用途的脉冲电路,如多谐振荡器、单稳态触发器以及施密特触发器等。555定时电路有TTL集成定时电路和CMOS集成定时电路,它们的逻辑功能与外引线排列都完全相同。双极型产品型号最后数码为555,CMOS型产品型号最后数码为7555。 一、555电路的结构组成和工作原理 (1)电路组成及其引脚

(2)555的工作原理 它含有两个电压比较器,一个基本RS 触发器,一个放电开关T ,比较器 的参考电压由三只5K Ω的电阻器构成分压,它们分别使高电平比较器C1同相比 较端和低电平比较器C2的反相输入端的参考电平为Vcc 32和Vcc 3 1 。C1和C2的 输出端控制RS 触发器状态和放电管开关状态。当输入信号输入并超过Vcc 32 时, 触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信 号自2脚输入并低于Vcc 31 时,触发器置位,555的3脚输出高电平,同时放电, 开关管截止。 D R 是复位端,当其为0时,555输出低电平。平时该端开路或接Vcc 。 Vco 是控制电压端(5脚),平时输出Vcc 32 作为比较器A1的参考电平,当5 脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01F μ的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。 T 为放电管,当T 导通时,将给接于脚7的电容器提供低阻放电电路. (3)555电路的引脚功能 二、555电路的应用 (1)用555电路构成施密特触发器

三位数字显示的计时系统(课程设计)

湖南工程学院 课程设计 课程名称数字电子技术 课题名称三位数字显示的计时系统 专业电气工程及其自动化 班级 学号 姓名 指导教师 2013年12 月27 日

湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:三位数字显示的计时系统 专业班级:班 学生姓名:学号: 指导老师: 审批: 任务书下达日期2013 年12 月16 日星期一设计完成日期2013 年12 月27 日星期五

设计内容与设计要求 一、任务与要求: 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1、精确到秒,最大计时为9分59秒; 2、开机时自动清零; 3、具有启停输入控制功能,按下启停输入控制键 时,开始计时,再次按下时,停止计时。 4、用7段数码管显示时间; 5、功能扩展(自选) 二、设计要求: 1、设计思路清晰,给出整体设计框图; 2、设计各单元电路,给出具体设计思路、电路器件; 3、总电路设计; 4、计算机仿真 5、安装调试电路; 6、写出设计报告;

主要设计条件 1.提供直流稳压电源、示波器; 2.提供 TTL集成电路芯片、电阻、电容及插接用面包板、 导线等。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图; 5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。

进度安排 第一周星期一上午安排任务、讲课。 星期一~星期五上午查资料、设计 第二周 1、计算机仿真 2、测试元器件 3、调试单元电路 4、调试总电路 5、调试验收 6、写课程设计报告书 星期五下午答辩 地点:实验楼四楼电子综合实验室 参考文献 《电子技术课程设计》历雅萍、易映萍编 《电子技术课程设计指导》彭介华、主编 高等教育出版社 《电子线路设计、实验、测试》谢自美主编华中理工出版社。

定时器工作原理

定时器工作原理 通电延时型。只要在定时的时间段内(即1分钟)定时器一直得电,则常开触电就会闭合,只要定时器不断电常开触电就会一直闭合。定时器断电则常开触电断开 1,定时器/计数器的结构与功能 主要介绍定时器0(T0)和定时器1(T1)的结构与功能。图6.1是定时器/计数器的结构框图。由图可知,定时器/计数器由定时器0、定时器1、定时器方式寄存器TMOD和定时器控制寄存器TCON组成。 定时器0,定时器1是16位加法计数器,分别由两个8位专用寄存器组成:定时器0由TH0和TL0组成,定时器1由TH1和TL1组成。 图6.1 定时器/计数器结构框图 TL0、TL1、TH0、TH1的访问地址依次为8AH~8DH,每个寄存器均可单独访问。定时器0或定时器1用作计数器时,对芯片引脚T0(P3.4)或T1(P3.5)上输入的脉冲计数,每输入一个脉冲,加法计数器加1;其用作定时器时,对内部机器周期脉冲计数,由于机器周期是定值,故计数值确定时,时间也随之确定。 TMOD、TCON与定时器0、定时器1间通过内部总线及逻辑电路连接,TMOD 用于设置定时器的工作方式,TCON用于控制定时器的启动与停止。 6.1.1 计数功能 计数方式时,T的功能是计来自T0(P3.4)T1(P3.5)的外部脉冲信号的个数。 输入脉冲由1变0的下降沿时,计数器的值增加1直到回零产生溢出中断,表示计数已达预期个数。外部输入信号的下降沿将触发计数,识别一个从“1”到“0”的跳变需2个机器周期,所以,对外部输入信号最高的计数速率是晶振频率的1/24。若晶振频率为6MHz,则计数脉冲频率应低于1/4MHz。当计数器满后,再来一个计数脉冲,计数器全部回0,这就是溢出。 脉冲的计数长度与计数器预先装入的初值有关。初值越大,计数长度越小;初值越小,计数长度越大。最大计数长度为65536(216)个脉冲(初值为0)。 6.1.2 定时方式 定时方式时,T记录单片机内部振荡器输出的脉冲(机器周期信号)个数。 每一个机器周期使T0或T1的计数器增加1,直至计满回零自动产生溢出中断请求。 定时器的定时时间不仅与定时器的初值有关,而且还与系统的时钟频率有关。在机器周期一定的情况下,初值越大,定时时间越短;初值越小,定时时间越长。最长的定时时间为65536(216)个机器周期(初值为0)。

三位数显示计时器定时器数电课程设计

沈阳航空航天大学 课程设计三位数字显示计时器定时器设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目三位数字显示计时器定时器设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个三位数字显示计时器、定时器电路,技术指标如下: ①计时、定时能够任意启停,保持计时、定时结果; ②开机自动复位; ③最大显示时间为9分59秒; ④设置时间,定时报警; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字:2016年12月31日

一、概述 此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用,本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。 二、方案论证 方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED进行报警最后使用显示译码器显示计数。所以一共有四部分组成。

三位数字计时器实验报告

目录 一、实验简介 (1) 1.1实验目的: (1) 1.2实验内容: (1) 1.3实验需求: (1) 二、设计简介 (2) 2.1设计概况: (2) 2.2设计要求 (2) 三、设计原理 (3) 3.1整体电路设计原理: (3) 3.2分步电路设计原理: (4) 3.2.1秒信号发生电路 (4) 3.2.2计时电路 (6) 3.2.3清零电路 (8) 3.2.4译码显示电路 (10) 3.2.5总体电路连接图 (10) 四、电路安装与调试说明 (13) 五、实验中遇到的问题及解决办法 (13) 六、附录 (14) 面包板连接图: (14) 电路原理图: (15) 芯片管脚图及功能表: (16)

摘要:数字计时器由秒脉冲信号发生器、计时电路、译码显示电路、校分电路、清零电路、报时电路等几部分单元电路组成。本次试验要求采用中小规模集成电路实现数字计时器的设计,并附加开机清零,快速校分,整点报时等功能。 关键词:脉冲信号发生电路、计时电路、报时电路、校分电路、清零电路、起停电路 正文 一、实验简介 1.1实验目的: 1. 通过实验掌握十进制加法计数、译码、显示电路的工作过程。 2. 通过实验深入掌握电路的分频原理和数字信号的测量方法。 3. 熟悉集成电路构成的计数、译码、显示器件的外部功能及其使用方法。 1.2实验内容: 1. 运用电路模拟软件,设计多功能数字计时器; 2. 连接实物电路,完成电路功能的测试: 3. 完成实验报告。 1.3实验需求: 实验物品:剪刀,起子,镊子,剥线钳,插线板,导线,元器件; 元器件清单:

二、设计简介 2.1设计概况: 本实验采用中小规模集成电路设计一个多功能数字计时器。实验需要分别设计脉冲发生电路,计时电路,译码显示电路,和控制电路以及附加电路,然后进行连接组成。要求完成0分00秒~9分59秒的计时功能,并在控制电路作用下实现开机清零,快速校分,整点报时功能。 2.2设计要求: 1.秒信号发生电路:为计时器提供秒信号

三位数字显示计时定时器设计

课程设计报告 课程设计名称:三位数字显示计时定时器设计 专业班级: 0212112 学生姓名:黎林 学号: 021211219 同组人员: 指导教师:李洪芹 课程设计时间: 2周

目录 1 设计任务、要求以及文献综述 (1) 2 原理叙述和设计方案 (1) 2.1 设计方案选择和论证 (1) 2.2 电路的功能框图及其说明 (1) 2.3 功能块及单元电路的设计、计算与说明 (2) 2.4 总体电路原理图 (4) 3 电路的仿真与调试 (4) 3.1 电路仿真 (4) 3.2 调试中出现的问题及解决方法 (5) 4 制作与调试 (6) 4.1元件清单、实物照片 (6) 4.2制作与调试过程中遇到的问题及解决办法 (7) 5心得体会 (8) 6 参考文献 (8) 附录 (8)

三位数字显示计时定时器设计 1 设计任务、要求以及文献综述 3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。设计要求如下: 1、计时功能。能任意启停,保持计时结果; 2、开机自动复位; 3、最大计时显示为9分59秒; 4、定时报警。 2 原理叙述和设计方案 2.1 设计方案选择和论证 方案一:设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路 方案二:实现一个三位数字显示的秒表系统,单片机,lcd1602显示屏,矩阵键盘等组成部分。秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后停止并且灯亮,等待重新复位计时。 论证:方案二比方案一好。 理由一:方案二显示的最终结果比较直观。 理由二:方案二可更改性好,方便日后的改进。 2.2 电路的功能框图及其说明 根据原理正确、易于实现、且实验室有条件实现的原则确定设计方案,画出总体设计功能框图,如图2.1所示。

相关主题
文本预览
相关文档 最新文档