当前位置:文档之家› 实用信号发生器

实用信号发生器

实用信号发生器
实用信号发生器

G题:实用信号发生器

摘要:本次实用信号发生器我们使用函数发生器ICL8038,通过它可以产生方波、三角波和正弦波:利用ICL8038的各引脚功能还可以实现改变占空比、调节正弦波失真度等功能;ICL8038内部主要包括电压比较器,触发器,三角波变正旋波电路,缓冲电路。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。然后通过LM324实行正弦波到脉冲波的转换,最后通过A T89S52实现信号频率的数字显示。

关键词:ICL8038 A T89S52 占空比频率数字显示

目录

1.引言 (3)

2.整体方案设计 (3)

2.1 设计要求及主要技术指标 (3)

2.2 发挥部分 (3)

3.方案论证结果与比较 (3)

3.1 信号发生器制作方案 (4)

3.2 显示方式选择 (4)

3.3键盘输入方式选择 (4)

4.系统设计 (5)

4.1 总体设计 (5)

4.2硬件电路设计 (5)

4.2.1 信号发生器模块 (5)

4.2.2 波形转换模块 (7)

5、软件设计 (8)

5.1 软件实现的功能 (8)

5.2 软件流程 (8)

6. 系统测试 (9)

7. 总结与心得 (9)

参考文献 (9)

附录1:元器件明细表: (9)

附录2:仪器设备清单 (9)

1.引言

信号发生器是实验室的基本设备之一,目前广泛实用的是一些标准产品,虽然功能齐全、性能指标较高,但是价格较贵,而且许多功能用不上。本次实验制作的实用信号发生器具有结构简单、体积小、使用方便等特点,虽然功能及性能指标赶不上标准信号发生器,但足以满足一般的实验要求。

2.整体方案设计

2.1 设计要求及主要技术指标

(1)正弦波信号发生器

①信号频率:20Hz~20kHz步进调整,步长为5HZ;

②频率稳定度:优于10-4;

③非线性失真系数≤3%。

(2)脉冲波信号发生器

①信号频率:20Hz~20kHz步进调整,步长为5Hz;

②上升时间和下降时间:≤1μs;

③平顶斜降:≤5%。

④脉冲占空比:2%~98%步进可调,步长为2%。

(3)上述两个信号发生器公共要求

①频率可预置;

②在负载为600Ω时,输出幅度为3V;

③完成5位频率的数字显示。

(4)±10V电源自己制作

①可输出±10V电源电压供信号发生器使用。

2.2 发挥部分

(1)正弦波和脉冲波频率步长改为1Hz。

(2)正弦波和脉冲波幅度可步进调整,调整范围为100mV~3V,步长为100mV。

(3)正弦波和脉冲波频率可自动步进,步长为1Hz。

3.方案论证结果与比较

本次项目要求设计并且制作一个实用信号发生器,能实现正弦波、脉冲波的产生,并且通过数字显示部分实现五位频率数字显示。

3.1 信号发生器制作方案

方案一:ICL8038

ICL8038是一种可以同时产生方波、三角波和正弦波的专用集成电路。它的频率可调范围为0.01HZ~300KHZ,矩形波占空比可调范围为:2%~98%,输出正弦波的失真小于1%,均满足本次大赛要求。且电路简单,成本较低,功能齐全,精度较高。

方案二:IC 555

电路连接简单、方便,器材均是最普通的,很实用,但是考虑到频率等参数不容易调节,并且不容易完成本次实验所提出的要求,所以不太适合本次实验。

通过以上方案的分析,我组最终决定选用方案一,即ICL8038。

3.2 显示方式选择

采用单片机AT89S52控制

利用数选编程,只要输入脉冲信号就可以很轻松的得到数字显示。而由信号发生器产生的正弦波也可以通过555转换为方波,稳定性高,不仅精度也高,调试起来方便。

方案一:LED显示

特别强调的是,本系统通过8位LED和一个键盘矩阵作为人机界面;在完成输出正弦波,方波f=20Hz—20kHz,步进5Hz可调,电压100mV—3V可调;LED功耗低,控制简单。认为此方案可行。

方案二:LCD显示

采用LCD液晶显示,可以显示所有字符及自定义字符,并能同时显示多组数据、汉字,字符清晰。由于自身具有控制器,不但可以减轻主单片机的负担,还可以实现主程序的显示功能。

但是本项目由于只要简单的显示数字和字符即可,所以综合考虑实际情况和成本问题,我组决定选择方案一。

3.3键盘输入方式选择

方案一:

采用传统的独立式按键;这种方式占用系统的资源较多,并且效率低,程序的编写大而

复杂。

方案二:

为了提高单片机的资源利用率,按键部分使用自己设计的4*4键盘,次键盘与单片机的通讯比较方便,而且工作效率也高。

通过以上分析,我们决定采用方案二。

4.系统设计

4.1 总体设计

通过ICL8038,可以产生正弦波、方波和三角波,再运用555运用根据上述设计要求,画出系统总体电路框图如图1所示。

图1:系统总体框图

4.2硬件电路设计

4.2.1 信号发生器模块

ICL8038是性能优良的集成函数发生器。可用单电源供电,即将引脚11接地,引脚6接+VCC ,VCC 为10~30V ;也可双电源供电,即将引脚11接-VEE ,引脚6接+VCC ,它们的值为±5~±15V 。频率的可调范围为0.01Hz~300kHz 。输出矩形波的占空比可调范围为2%~98%,满足题目的要求。

(1)、ICL8038内部结构

ICL8038的内部结构图如附录图2所示:

信号发生模块 正弦波转换为方波

显示模块

图2:ICL8038的内部结构图(2)、ICL8038引脚图(如图3):

图3:ICL8038引脚图(3)、ICL 8038 外围电路

图4为我们这次实验电路的实现电路,矩形波输出端为集电极开路形式,需通过电阻RL 接至+Vcc 。图中引脚4、5接RA 、RB 两个电位器,通过对其大小的调节可以改变电路的占空比;引脚8为频率调节(简称为调频)电压输入端,电路的振荡频率与调频电压成正比。当7、8脚短接、RA=RB =R 时,占空比为50%。引脚10外接电容端,电容量越大频率越低,通过选择电容产生不同频段的输出信号;引脚1、12外接可调电阻,调节正弦波失真度。

4.2.2 波形转换模块

输入单片机的信号要为脉冲波,而我们的信号发生器的波形为脉冲波和正弦波,所以需要通过转换电路将正弦波转为脉冲波。这里我们选用运算放大器LM324(见附录图3),当去掉运放的反馈电阻时,或者说反馈电阻趋于无穷大时(即开环状态),理论上认为运放的开环放大倍数也为无穷大。此时运放便形成一个电压比较器,其输出如不是高电平(V+),就是低电平(V-或接地)。当正输入端电压高于负输入端电压时,运放输出低电平,由此实现正弦波的转换。

1

3 11

4

9 6 12

10

8 5

2 ICL-8038 +10V

0.1uF T 10K ? W 3 10K ? W 2 4.7K ? 100K ? W4

10K ?

200uF

W1 ○

10K ? 10K ? W6

100K ? W5

10K ?

20K ?

-10V

图4:ICL8038组成的信号发生器

图5:LM324波形转换电路

5、软件设计

5.1 软件实现的功能

运用51单片机内的定时计数器完成频率的数字显示

5.2 软件流程

P3.4口计数

初始化

开始

定时1s 后,令flag 为1

位地址flag 为1吗?

令flag 为0

6.系统测试

7.总结与心得

参考文献

1.《全国电子设计竞赛系统设计》 黄智伟编著 北京航空航天大学出版社 2006年 2.《电子线路设计·实验·测试》 谢自美主编 华中科技大学出版社 2008年 3.《电子技术基础数字部分(第五版)》 康华光主编 高等教育出版社 2006年 4.《51单片机 C 语言常用模块与综合系统设计 实例精讲》 于永编著 电子工业出版社 2007年

附录:

附录1:元器件明细表:

1、 ICL8038

2、 AT89S52

3、 4位共阴LED 数码管

4、 运算放大器LM324

附录2:仪器设备清单

1、 数字万用表

2、 失真度测量仪

3、 数字示波器

4、 稳压电源

T0count 从外界频率计数

显示

赋值给x , 设置步进值

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

4-20ma信号发生器电路

4-20ma信号发生器电路 制作要求:以精度0.5级为例,二线制4~20mA模拟恒环路信号发生器执行标准:GB/T13850-1998; (1)基准要稳,4mA是对应的输入零位基准,基准不稳,谈何精度线性度,冷开机3分锺内4mA的零位漂移变化不超过4.000mA0.5%以内;(即3.98-4.02mA),负载250Ω上的压降为0.995-1.005V,国外IC心片多用昂贵的能隙基准,温漂系数每度变化10ppm; (2)内电路总计消耗电流<4mA,加整定后等于4.000mA,而且有源整流滤波放大恒流电路不因原边输入变化而消耗电流也随之变化,国外IC心片采用恒流供电; (3)当工作电压24.000V时,满量程20.000mA时,满量程20.000mA的读数不会因负载0-700Ω变化而变化;变化不超过20.000mA0.5%以内; (4)当满量程20.000mA时,负载250Ω时,满量程20.000mA的读数不会因工作电压15.000V-30.000V变化而变化;变化不超过20.000mA0.5%以内;

(5)当原边过载时,输出电流不超过25.000mA+10%以内,否则PLC/DCS内供变送器用的24V工作电源和A/D输入箝位电路因功耗过大而损坏,另外变送器内的射随输出亦因功耗过大而损坏,无A/D输入箝位电路的更遭殃; (6)当工作电压24V接反时不得损坏变送器,必须有极性保护; (7)当两线之间因感应雷及感应浪涌电压超过24V时要箝位,不得损坏变送器;一般在两线之间并联1-2只TVS瞬态保护二极管 1.5KE可抑制每20秒间隔一次的20毫秒脉宽的正反脉冲的冲击,瞬态承受冲击功率1.5KW-3KW; (8)产品标示的线性度0.5%是绝对误差还是相对误差,可以按以下方法来辨别 方可一目了然:符合下述指标是真的线性度0.5%. 原边输入为零时输出4mA正负0.5%(3.98-4.02mA),负载250Ω上的压降为 0.995-1.005V 原边输入10%时输出5.6mA正负0.5%(5.572-5.628mA)负载250欧姆上的压降为1.393-1.407V 原边输入25%时输出8mA正负0.5%(7.96-8.04mA)负载250Ω上的压降为 1.990- 2.010V 原边输入50%时输出12mA正负0.5%(11.94-12.06mA)负载250Ω上的压降为2.985-3.015V 原边输入75%时输出16mA正负0.5%(15.92-16.08mA)负载250Ω上的压降为3.980-4.020V 原边输入100%时输出20mA正负0.5%(19.90-20.10mA)负载250Ω上的压降为4.975-5.025V (9)原边输入过载时必须限流:原边输入过载大于125%时输出过流限制25mA +10%(25.00-27.50mA)负载250Ω上的压降为6.250-6.875V; (10)感应浪涌电压超过24V时有无箝位的辨别:在两线输出端口并一个交流50V 指针式表头,用交流30-35V接两根线去瞬间碰一下两线输出端口,看有无箝位,箝位多少伏可一目了然啦; (11)有无极性保护的辨别:用指针式万用表Ω乘10K档正反测量两线输出端口,总有一次Ω阻值无限大,就有极性保护; (12)有无极输出电流长时间短路保护:原边输入100%时或过载大于125%-200%时,将负载250Ω短路,测量短路保护限制是否在25mA+10%; (13)工业级别和民用商用级别的辨别:工业级别工作温度范围是-25度到+70度,温漂系数是每度变化100ppm,即温度每度变化1度,精度变化为万分之一;

PWM信号发生器的设计程序(veriloghdl)

PWM信号发生器的设计程序 module pwmgen(clk,rst,ce,addr,write,wrdata,read,bytesel,rddata,pwm); input clk,rst,ce; input [1:0]addr; input write,read; input[31:0]wrdata; output[31:0]rddata; input[31:0]bytesel; output pwm; reg[31:0]clk_div_reg,duty_cycle_reg; reg control_reg; reg clk_div_reg_sel,duty_cycle_reg_sel,control_reg_sel; reg[31:0]pwm_cnt,rddata; reg pwm; wire pwm_ena; always@(addr) begin clk_div_reg_sel<=0;duty_cycle_reg_sel<=0;control_reg_sel<=0; case(addr) 2'b00:clk_div_reg_sel<=1; 2'b01:duty_cycle_reg_sel<=1; 2'b10:control_reg_sel<=1; default: begin clk_div_reg_sel<=0; duty_cycle_reg_sel<=0; control_reg_sel<=0; end endcase end always@(posedge clk or negedge rst) begin if(rst==1'b0) clk_div_reg=0; else begin if(write & ce & clk_div_reg_sel) begin if(bytesel[0]) clk_div_reg[7:0]=wrdata[7:0]; if(bytesel[1]) clk_div_reg[15:8]=wrdata[15:8];

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

信号发生器电路的焊接与调试-电路图

一、信号发生器电路安装与调试考核评分表 准考证号姓名规定时间分钟 开始时间结束时间实用时间得分 考核内容及要求配分评分标准扣分 1 元器件清点检查:在10分钟内对所有元 器件进行检测,并将不合格元器件筛选出来进 行更换,缺少的要求补发。 10 超时更换或要求补发按损坏 元件扣分,扣3分/个。 2 安装电路:按装配图进行装接,要求不装 错,不损坏元器件,无虚焊,漏焊和搭锡,元 器件排列整齐并符合工艺要求。 30 漏装,错装或虚焊、漏焊、 搭锡,扣2分/个,安装不整 齐和不符合工艺要求的扣1 分/处,损坏元件扣3分/个。 3 电源电路:接通交流电源,测量交流电压 和各直流电压+12V、-12V、V CC 、-5V。 信号发生器电路:接通+12V、-12V、V CC 、 -5V电源。测量函数信号波形:方波、正弦波、 三角波形。 20 电压测试方法不正确扣10 分,测量值有误差扣5分。 4 选择C=10uf,调节RW13、RW14、RW15, 记录方波的占空比: 1、 2、 3、 10 不会用示波观察输出信号波 形扣10分, 调节不正确扣5分, 波形记录不正确扣5分。 5 改变电容:100nf——100uf,并调节RW11, 记录正弦波输出频率f: 1、 2、 3、 10 最大不失真电压测试方法不 正确扣5分,测量值不准确 扣5分,不会计算最大不失 真功率扣5分。 6 调节RW21、RW22, 记录正弦波输出Vpp: 1、 2、 3、 10 不会测试功放电路的灵敏度 扣5分,不会计算电压放大 倍数扣5分。 7 调节电位器RW16、RW17, 记录正弦波形的失真: 1、 2、 3、 10 测量方法不正确扣5分, 测量数据每处2分,不会绘 制频响曲线扣5分 开始时间:结束时间:实用时间:

2021年基于5单片机的信号发生器-完整电路、程序

摘要 欧阳光明(2021.03.07) 本文以STC89C51单片机为核心设计了一个低频函数信号产生器。信号产生器采取数字波形合成技术,通过硬件电路和软件法度相结合,可输出自界说波形,如正弦波、方波、三角波、三角波、梯形涉及其他任意波形,波形的频率和幅度在一定规模内可任意修改。波形和频率的修改通过软件控制,幅度的修改通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号产生器具有体积小、价格低、性能稳定、功能齐全的优点。 关键词:低频信号产生器;单片机;D /A转换; 1设计选题及任务 设计题目:基于单片机的信号产生器的设计与实现 任务与要求: 设计一个由单片机控制的信号产生器。运用单片机系统控制产生多种波形,这些波形包含方波、三角波、锯齿波、正弦波等。信号产生器所产生的波形的频率、幅度均可调节。并可通过软件任意修改信号的波形。 基本要求: 1. 产生三种以上波形。如正弦波、三角波、矩形波等。 2.最年夜频率不低于500Hz。并且频率可按一定规律调节,如周期

按1T,2T,3T,4T或1T,2T,4T,8T变更。 3.幅度可调,峰峰值在0——5V之间变更。 扩展要求:产生更多的频率和波形。 2系统概述 2.1计划论证和比较 2.1.1总体计划: 计划一:采取模拟电路搭建函数信号产生器,它可以同时产生方波、三角波、正弦波。可是这种模块产生的不克不及产生任意的波形(例如梯形波),并且频率调节很不便利。 计划二:采取锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需频率上,该计划性能良好,但难以达到输出频率笼盖系数的要求,且电路庞杂。 计划三:使用集成信号产生器产生芯片,例如AD9854,它可以生成最高几十MHZ的波形。可是该计划也不克不及产生任意波形(例如梯形波),并且价格昂贵。 计划四:采取AT89C51单片机和DAC0832数模转换器生成波形,加上一个低通滤波器,生成的波形比较纯洁。它的特点是可产生任意波形,频率容易调节,频率能达到设计的500HZ以上。性能高,在低频规模内稳定性好、操纵便利、体积小、耗电少。 经比较,计划四既可满足课程设计的基本要求又能充分阐扬其优势,电路简单,易控制,性价比高,所以采取该计划. 2.1.2修改幅度计划: 计划一:可以将送给DA的数字量乘以一个系数,这样就可以修改DA输出电流的幅度,从而修改输出电压;可是这样做有很严重的问题,单片机在做乘法运算时需要很长的时间,这样的话输出波形的频率就会很低,达不到至少500HZ的要求; 并且该计划的输出电压做不到连续可调,当DA的输入数字量比较小时,输出的波形失真就会比较严重。 计划二:将输出电压通过一个运算放年夜器的放年夜。这样还有个优点是幅度连续可调。 经比较,计划二既可满足课程设计的基本要求,并且电路也挺简单。

信号发生器的基本参数和使用方法

信号发生器 本人介绍一下信号发生器的使用和操作步骤. 1、信号发生器参数性能 频率范围:0.2Hz ~2MHz 粗调、微调旋钮 正弦波, 三角波, 方波, TTL 脉波 0.5" 大型 LED 显示器 可调 DC offset 电位 输出过载保护 信号发生器/信号源的技术指标: 波形正弦波, 三角波, 方波, Ramp 与脉波输出 振幅>20Vp-p (open circuit); >10Vp-p (加 50Ω负载) 阻抗50Ω+10% 衰减器-20dB+1.0dB (at 1kHz) DC 飘移<-10V ~ >+10V, (<-5V ~ >+5V 加 50Ω负载) 周期控制 1 : 1 to 10 : 1 continuously rating 显示幕4位LED显示幕 频率范围0.2Hz to2MHz(共 7 档) 频率控制Separate coarse and fine tuning 失真< 1% 0.2Hz ~ 20kHz , < 2% 20kHz ~ 200kHz 频率响应< 0.2dB 0.2Hz ~100kHz; < 1dB100kHz~2MHz 线性98% 0.2Hz ~100kHz; 95%100kHz~2MHz

对称性<2% 0.2Hz ~100kHz 上升/下降时间<120nS 位准4Vp-p±1Vp-p ~ 14.5Vp-p±0.5Vp-p 可调 上升/下降时间<120nS 位准>3Vpp 上升/下降时间<30nS 输入电压约 0V~10V ±1V input for 10 : 1 frequency ratio 输入阻抗10kΩ (±10%) 交流 100V/120V/220V/230V ±10%, 50/60Hz 电源线× 1, 操作手册× 1, 测试线 GTL-101 × 1 230(宽) × 95(高) × 280(长) mm,约 2.1 公斤 信号发生器是为进行电子测量提供满足一定技术要求电信号的仪器设备。这种仪器是多用途测量仪器,它除了能够输出正弦波、矩形波尖脉冲、TTL电平、单次脉冲等五种波形,还可以作频率计使用,测量外输入信号的频率 1.信号发生器面板: (1)电源开关; (2)信号输出端子; (3)输出信号波形选择;

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

基于AD9851的信号发生器(带程序).

基于AD9851的信号发生器 最近几年的电子设计大赛,差不多每年都考了DDS的设计。本人曾经也调试过DDS,但走了一些弯路,在这里写下一些心得,希望能对初调者有点帮助。下面将有电路图,以及详细的代码。 学过FPGA的同仁们,应该对DDS的原理就会有很好的理解了。用FPGA是很容易把一个简单型的ad8952烧出来的!其实原理相当的简单,无非就是由相位累加器,相位调制器,正弦查找ROM,DAC构成。通过改变相位累加的增量就很容易的改变的输出的频率了。 如果相位累加器(频率控制子)的位宽为N位(ad9851的N=32位)这就意味着把一个周期的正弦波形离散成了2的N次方个点,把这些点的幅值存在一个ROM中就构成了正弦查找ROM。如果系统时钟为Fclk,即把Fclk分成了2的N 次方份。如果此时的相位累加增量为(频率控制字)为B,那么此时的输出频率应为Fout=(B*Fclk)/ N。显然B=1时其最小值为Fclk/ N。B的值也不能太大,否则会输出失真波形。Fout的最大值理论上应该至少小于Fclk/4。所以要想提高输出频率的最大值,就得靠提高系统的外部时钟Fclk。 下面结合本人的代码来具体讲讲AD9851的应用。 AD9851的一些具体介绍这里就不说了。其DATASHEET上都说的很清楚。若看不懂E文,可以发E_M给我,我有中文资料。AD9851要写40位的控制子。其中前面32位就是频率控制子了。后面是有1个6倍频使能位,1个logic0位,1个POWER_DOWN位,还有5位相位模式字。这里我们只解决频率控制问题。也就是说在代码中本人只写了,32位的频率控制子,还有一个6倍频使能。其余的剩下几位由于没用到也就默认写为0了。AD9851可以用并和串俩中方式写入控制子。 其串行发送方式的控制子表如下: 本人主要将用串写控制子的时序与代码。其并的方式的代码也会给出。硬件电路图网上有很多资源,自己去找找! 主要看看代码吧: /************************************************************* ad9851串口驱动程序 2007-8-28-------------water ************************************************************/ #include #include #include

基于单片机的信号发生器(完整电路_程序)资料

电子与信息工程学院综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:10电工2班 学号:20101851046 姓名:李俊 指导教师: 时间:

摘要 本文以STC89C51单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如 正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点。 关键词:低频信号发生器;单片机;D /A转换; 1设计选题及任务 设计题目:基于单片机的信号发生器的设计与实现 任务与要求: 设计一个由单片机控制的信号发生器。运用单片机系统控制产生多种波形,这些波形包括方波、三角波、锯齿波、正弦波等。信号发生器所产生的波形的频率、幅度均可调节。并可通过软件任意改变信号的波形。 基本要求: 1. 产生三种以上波形。如正弦波、三角波、矩形波等。 2.最大频率不低于500Hz。并且频率可按一定规律调节,如周期按1T,2T,3T,4T 或1T,2T,4T,8T变化。 3.幅度可调,峰峰值在0——5V之间变化。 扩展要求:产生更多的频率和波形。 2系统概述 2.1方案论证和比较 2.1.1总体方案: 方案一:采用模拟电路搭建函数信号发生器,它可以同时产生方波、三角波、正弦波。但是这种模块产生的不能产生任意的波形(例如梯形波),并且频率调节很不方便。 方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且电路复杂。

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

基于51单片机的函数信号发生器的设计

龙源期刊网 https://www.doczj.com/doc/e213094393.html, 基于51单片机的函数信号发生器的设计 作者:朱兆旭 来源:《数字技术与应用》2017年第02期 摘要:本文所设计的系统是采用AT89C51单片机和D/A转换器件DAC0832产生所需不 同信号的低频信号源,AT89C51 单片机作为主体,采用D/A转换电路、运放电路、按键和LCD液晶显示电路等,按下按键控制生成方波、三角波、正弦波,同时用LCD显示相应的波形,输出波形的周期可以用程序改变,具有线路简单、结构紧凑、性能优越等特点。 关键词:51单片机;模数转换器;信号发生器 中图分类号:TP391 文献标识码:A 文章编号:1007-9416(2017)02-0011-01 1 前言 波形发生器,是一种作为测试用的信号源,是当下很多电子设计要用到的仪器。现如今是科学技术和设备高速智能化发展的科技信息社会,集成电路发展迅猛,集成电路能简单地生成各式各样的波形发生器,将其他信号波形发生器于用集成电路实现的信号波形发生器进行对比,波形质量、幅度和频率稳定性等性能指标,集成电路实现的信号波形发生器都胜过一筹,随着单片机应用技术的不断成长和完善,导致传统控制与检测技术更加快捷方便。 2 系统设计思路 文章基于单片机信号发生器设计,产生正弦波、方波、三角波,连接示波器,将生成的波形显示在示波器上。按照对作品的设计研究,编写程序,来实现各种波形的频率和幅值数值与要求相匹配,然后把该程序导入到程序存储器里面。 当程序运行时,一旦收到外界发出的指令,要求设备输出相应的波形时,设备会调用对应波形发生程序以及中断服务子程序,D/A转换器和运放器随之处理信号,然后设备的端口输出该信号。其中,KEY0为复位键,KEY1的作用是选择频率的步进值,KEY2的作用是增加频 率或增加频率的步进值,KEY3的作用是减小频率或减小频率的步进值,KEY4的作用是选择三种波形。103为可调电阻,用于幅值的调节。自锁开关起到电源开关的作用。启动电源,程序运行的时候,选择正弦波,红色LED灯亮起;选择方波,黄色LED灯亮起;选择三角波,绿色LED灯亮起。函数信号发生器频率最高可达到100Hz,最低可达到10Hz,步进值0.1- 10Hz,幅值最高可到3.5V。系统框图如图1所示。 3 软件设计

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

信号发生器的原理及应用

实验一信号发生器的原理及应用 一、实验目的 (1)熟悉直接数字合成双路函数信号发生器的工作原理以及面板装置及功能; (2)会运用UTG2025A型数字信号合成信号发生器产生标准信号和调制信号。 二、实验设备 (1)UTG2025A型函数/任意波形信号发生器1台; (2)UTD2102C数字存储示波器各1台。 三、实验原理 函数信号发生器是能产生多种特定时间函数波形(如正弦波、方波、三角波 等)供测试用的信号发生器。典型函数信号发生器由输入单元、内/外转换电路、 波形产生电路、频段转换器、扫频电路、占空比和频率调节电路、微处理器、A/D 转换器、直流功率放大器和计数显示器等组成,其电路原理方框图如下所示: 图1典型函数信号发生器电路原理框图 其中波形产生电路、频率调整电路、占空比调整电路、内外扫频控制电路、测频 单元电路等具体电路原理与分析见教材《电子测量技术》P67-P71页内容。 四、实验内容及步骤 4.1 产生标准信号 4.1.1 产生正弦波信号

实验内容:产生一个20MHz、峰峰值100mV、直流偏置-150mV的正弦波信号。 1 实验步骤: (1)确保仪器正确连接后,打开开关,等仪器自检回到主菜 单;(2)按【menu】→【波形】→【正弦波】,如下图所示: (3)按【menu】→【波形】→【参数】 选择【频率】、【幅度】、【直流偏移】、【相位】不同功能按钮进行设 置:可以用三种方法来输入频率值:(其他数字量输入类似) ①通过按方向键来移动选择光标,再通过多功能按钮来增加、减少频率值; ②通过多功能按钮选中再逆时针、顺时针旋转来增加、减少频率值; ③通过数字键盘输入:进入频率设置状态后,当您按下数字键盘任意一个按键后,屏幕弹出输入窗口,如下图所示: 键入数字后再分别选择不同单位。

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

锯齿波信号发生器课程设计报告

锯齿波信号发生器的设计 技术指标要求: 频率f=500Hz ,V p-p =10V 。 该课题的内容: (一)原理结构说明 一、滞回比较器 在单限比较器中,输入电压在阈值电压附近的任何微小变化,R 都将引起输出电压的跃变,不管这种微小变化是来源于输入信号还是外部干扰。因此,虽然单限比较器很灵敏,但是抗干扰能力差。滞回比较器具有滞回特性,即具有惯性,因此也就具有一定抗干扰能力。从反相输入端输入的滞回比较器电路如图(a)所示,滞回比较器电路中引入了正反馈。 (b)电压传输特性 从集成运放输出端的限幅电路可以看出,uo =±U Z 。集成运放反相输入端电位u N =u I ,同相输入端电位 根据“虚短”u N =u P ,求出的u I 就是阈值电压,因此得出 U Z U Z R 1+R 2 u P = R 1 U Z ±U T = ± R 1

当u I<-U T,u N+U T,uo=-U Z。 当u I>+U T,u N>u P,因而uo=-U Z,所以u P=-U T。u I<-U T,uo=+U Z。 可见,uo从+U Z跃变为-U Z和uo从-U Z跃变为+U Z的阈值电压是不同的,电压传输特性如图(b)所示。 在我们所设计的锯齿波发生器中,滞回比较器由运放U1和电阻 Rb,R1,R4所组成。 通过由稳压管D1,D2和限流电阻R3构成的输出限幅电路,从而输出方波波 形。 其中调节电阻Rb,R1可改变锯齿波的幅值和一定范围的频率。调节滞回 比较器的稳幅输出D1,D2值,可调整方波输出幅值,可改变积分时间,从 而在一定范围内改变锯齿波的频率。 二、积分电路 如图所示的积分运算电路中,由于集成运放的同相输入端通过R’接 地,u N=u P=0,为“虚地”。 电路中电容C的电流等于流过电 阻R的电流 输出电压与电容上电压的关系为 u o=-u c 而电容上电压等于其电流的积分,故

相关主题
文本预览
相关文档 最新文档