当前位置:文档之家› 半导体工艺

半导体工艺

1. acceptance testing (WAT: wafer acceptance testing)
2. acceptor: 受主,如B,掺入Si中需要接受电子
3. ACCESS:一个EDA(Engineering Data Analysis)系统
4. Acid:酸
5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)
6. Align mark(key):对位标记
7. Alloy:合金
8. Aluminum:铝
9. Ammonia:氨水
10. Ammonium fluoride:NH4F
11. Ammonium hydroxide:NH4OH
12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)
13. Analog:模拟的
14. Angstrom:A(1E-10m)埃
15. Anisotropic:各向异性(如POLY ETCH)
16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)
17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)
18. Antimony(Sb)锑
19. Argon(Ar)氩
20. Arsenic(As)砷
21. Arsenic trioxide(As2O3)三氧化二砷
22. Arsine(AsH3)
23. Asher:去胶机
24. Aspect ration:形貌比(ETCH中的深度、宽度比)
25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)
26. Back end:后段(CONTACT以后、PCM测试前)
27. Baseline:标准流程
28. Benchmark:基准
29. Bipolar:双极
30. Boat:扩散用(石英)舟
31. CD: (Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。
32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。
33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。
34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。
35. Chip:碎片或芯片。
36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。
37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。
38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。
39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。
40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。
41. Computer-aided design(CAD):计算机辅助设计。
42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。
43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。
44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。
45. Correlation:相关性。
46. Cp:工艺能力,详见process capability。
47. Cpk:工艺

能力指数,详见process capability index。
48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。
49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。
50. Defect density:缺陷密度。单位面积内的缺陷数。
51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。)
52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。
53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。
54. Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。
55. Depth of focus(DOF):焦深。
56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。
57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)
58. developer:Ⅰ)显影设备; Ⅱ)显影液
59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源
60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。
61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。
62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。
63. dielectric:Ⅰ)介质,一种绝缘材料; Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。
64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。
65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。
66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。
67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。
68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。
69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。
70. epitaxial layer:外延层。半导体技术中,在

决定晶向的基质衬底上生长一层单晶半导 体材料,这一单晶半导体层即为外延层。
71. equipment downtime:设备状态异常以及不能完成预定功能的时间。
72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。
73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。
74. fab:常指半导体生产的制造工厂。
75. feature size:特征尺寸,指单个图形的最小物理尺寸。
76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。
77. film:薄膜,圆片上的一层或多层迭加的物质。
78. flat:平边
79. flatband capacitanse:平带电容
80. flatband voltage:平带电压
81. flow coefficicent:流动系数
82. flow velocity:流速计
83. flow volume:流量计
84. flux:单位时间内流过给定面积的颗粒数
85. forbidden energy gap:禁带
86. four-point probe:四点探针台
87. functional area:功能区
88. gate oxide:栅氧
89. glass transition temperature:玻璃态转换温度
90. gowning:净化服
91. gray area:灰区
92. grazing incidence interferometer:切线入射干涉仪
93. hard bake:后烘
94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法
95. high-current implanter:束电流大于3ma的注入方式,用于批量生产
96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒
97. host:主机
98. hot carriers:热载流子
99. hydrophilic:亲水性
100. hydrophobic:疏水性
101. impurity:杂质
102. inductive coupled plasma(ICP):感应等离子体
103. inert gas:惰性气体
104. initial oxide:一氧
105. insulator:绝缘
106. isolated line:隔离线
107. implant : 注入
108. impurity n : 掺杂
109. junction : 结
110. junction spiking n :铝穿刺
111. kerf :划片槽
112. landing pad n AD
113. lithography n 制版
114. maintainability, equipment : 设备产能
115. maintenance n :保养
116. majority carrier n :多数载流子
117. masks, device series of n : 一成套光刻版
118. material n :原料
119. matrix n 1 :矩阵
120. mean n : 平均值
121. measured leak rate n :测得漏率
122. median n :中间值
123. memory n : 记忆体
124. metal n :金属
125. nanometer (nm) n :纳米
126. nanosecond (ns) n :纳秒
127. nitride etch n :氮化物刻蚀
128. nitrogen (N2 ) n: 氮气,一种双原子气体
129. n-type adj :n型
130. ohms per square n:欧姆每平方: 方块电阻
131. orientation n: 晶向,一组晶列所指的方向
132. overlap n : 交迭区
133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应
134. phosphorus (P) n :磷 ,一种有毒的非金属元素


135. photomask n :光刻版,用于光刻的版
136. photomask, negative n:反刻
137. images:去掉图形区域的版
138. photomask, positive n:正刻
139. pilot n :先行批,用以验证该工艺是否符合规格的片子
140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体
141. plasma-enhanced chemical vapor deposition (PECVD) n: 等离子体化学气相淀积,低温条件下的等离子淀积工艺
142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺
143. pn junction n:pn结
144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠
145. polarization n:偏振,描述电磁波下电场矢量方向的术语
146. polycide n:多晶硅 /金属硅化物, 解决高阻的复合栅结构
147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,能导电。
148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象
149. prober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。
150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。
151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩 膜版,从而使对应的光刻胶暴光。
152. pure water n : 纯水。半导体生产中所用之水。
153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。
154. quartz carrier n :石英舟。
155. random access memory (RAM) n :随机存储器。
156. random logic device n :随机逻辑器件。
157. rapid thermal processing (RTP) n :快速热处理(RTP)。
158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。
159. reactor n :反应腔。反应进行的密封隔离腔。
160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。
161. resist n :光刻胶。
162. scanning electron microscope (SEM) n :电子显微镜(SEM)。
163. scheduled downtime n : (设备)预定停工时间。
164. Schottky barrier diodes n :肖特基二极管。
165. scribe line n :划片槽。
166. sacrificial etchback n :牺牲腐蚀。
167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。
168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。
169. side load: 边缘载荷,被弯曲后产生的应力。
170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片
171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。
172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。
173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。
174.

spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。
175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。
176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。
177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。
178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚 到达特定地带的那个时刻之间的时间。
179. stepper: 步进光刻机(按BLOCK来曝光)
180. stress test: 应力测试,包括特定的电压、温度、湿度条件。
181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。
182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。
183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。
184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。
185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。
186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。
187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。
188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。
189. titanium(Ti): 钛。
190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。
191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。
192. tungsten(W): 钨。
193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。
194. tinning: 金属性表面覆盖焊点的薄层。
195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。
196. watt(W): 瓦。能量单位。
197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。
198. wafer process chamber(WPC): 对晶片进行工艺的腔体。
199. well: 阱。
200. wet chemical etch: 湿法化学腐蚀。
201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。
202. via: 通孔。使隔着电介质的上下两层金属实现电连接。
203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。
204. torr : 托。压力的单位。
205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压

力。蒸汽压力是与物质和温度有关的函数。
206. vacuum: 真空。
207. transition metals: 过渡金属
MASK光罩
MASK之原意為面具,而事實上,光罩在整個IC製作流程上,所扮演之角色,亦有幾分神似。
光罩主要之用途,在於利光阻製程,將我們所需要之圖形一直複印在晶片上,製作很多之IC晶方。
而光罩因所用之對準機台, 也分為1X, 5X, 10X, MASK(即1:1, 5:1, 10:1)等,而根據其製作之材質又可分為石英光罩(QUARTY),綠玻璃光罩等。

Micro, Micrometer, Micron微,微米
Micro 為10-6, 1 Micro=10-6
1 Micrometer=10-6 m=1 Micron=1μm
通常我們說1μ即為10-6 m。
又因為1?=10-8 cm=10-8 m (原子大小)
故1μ=10,000 ? 約為一萬個原子堆積而成的厚度或長度。

Misalign對準不良
定義:這層光阻圖案和上層(即留在晶片上者)圖案疊對不好,超出規格。;
原因:人為,機台,晶片彎曲,光罩‥.
種類:例如:下列對準狀況,可依照不同層次的規格決定要不要修改。

MOS金屬半導體
構成IC的電晶體結缸可分為兩型一雙載子型(bipolar)和MOS型(Metal-Oxide-Semiconductor)。雙載子型IC的運算速度較快但電力消耗較大,製造工程也複雜,並不是VLSI的主流。
而MOS型是由電場效應電晶體(FET)集積化而成。先在矽上形成絕緣氧化膜之後,再由它上面的外加電極(金屬或複晶矽)加入電場來控制某動作,製程上比較簡單,也較不耗電,最早成為實用化的是P-MOS,但其動作速度較慢,不久,更高速的N-MOS也被採用。一旦進入VLSI的領域之後﹒NMOS的功率消耗還是太大了,於是由P-MOS及N-MOS組合而成速度更高、電力消耗更少的互補式金氧半導體(CMOS,Complementary MOS) 遂成為主流。

MPY Multi Probe Yield多功能針測良率
針測出符合電器特性要求的晶片,以便送到封包工廠製成記憶體成品;此測試時得到的良品率稱之。
每片晶圓上並不是每一個晶片都能符合電器特性的要求,因此須要多功能針測以找出符合要求的晶片。

MTBF Mean Time Between Failure故障平均時間
MTBF為設備可靠度的評估標準之一,其意指設備前後發生故障的平均時間。MTBF時間愈短表示設備的可靠度愈佳,另外MTTR為Mean Time to Repair為評估設備修復的能力。

N2, Nitrogen氮氣
空氣中約4/5是氮氣,氮氣是一安定之惰性氣體,由於取得不難且安定,故Fab內常用以當作Purge管路,除去髒污、保護氣氛、傳送氣體(Carrier Gas)、及稀釋(Dilute)用途,另外﹒氮氣在零下 196℃(77°F)以下即以液態存在,故常被用做真空冷卻源。

N P type SemiconductorN P型半導體
一般金屬由於阻值相當低(10-2Ω-cm以下),因此稱之為良導體﹒而氧化物等阻值高至105Ω-cm

以上﹒稱之非導體或絕緣體。若阻值在10-2~10-5Ω-cm之間﹒則名為半導體。
IC工業使用的矽晶片,阻值就是在半導體的範圍,但由於Si(矽)是四價鍵結(共價鍵)的結構,若摻雜有如砷(As)﹒磷(P)等五價元素,且佔據矽原子的地位(Substitutional Sites)﹒則多出一個電子,可用來導電﹒使導電性增加,稱
之為N型半導體。若摻雜硼(B)等三價元素﹒且仍佔據矽原子的地位,則鍵結少了一個電子,因此其它違結電子在足夠的熱激發下,可以過來填補,如此連續的電子填補﹒稱之為定電洞傳導,亦使矽之導電性增加,稱之為P型半導體。
因此N型半導體中,其主要常電粒子為帶負電的電子,
而在P型半導體中,則為常正電的電洞。在平衡狀況下(室溫)不管N型或P型半導體,其電子均與電洞濃度的乘積值不變。故一方濃度增加,另一方即相對減少。

NSG Nondoped Silicate Glass無滲入雜質矽酸鹽玻璃
NSG為半導體積體電路中之絕緣層材料,通常以化學氣相沉積的方式生成,具有良好的均勻覆蓋特性以及良好的絕緣性質。
主要應用於閘極與金屬或金屬與金屬間高低不平的表面產生均勻的覆蓋及良好的絕緣,並且有助於後續平坦化製程薄膜的生成。

Numerical Aperture. NA數值孔徑 N.A﹒
NA值是投影式對準機,其光學系統之解析力(Resolution)
好壞的一項指標。NA值愈大,則其解析力也愈佳。

亦即,鏡片愈大,焦距愈短者,解析力就愈佳,但鏡片的製作也就愈難,因為易產生色差(Chromatic Aberration)及像畸變(Distorsion),以CANON Stepper為例,其NA=0.42
,換算成照像機光圈值,f/#=1/2×0.42=1.19,如此大的
光圈值,Stepper鏡片之昂貴也就不足為奇了。

OEB Oxide Etch Back氧化層平坦化蝕刻
將Poly-1上之多餘氧化層(Filling 0X)除去,以達到平坦化之目的。




Ohmic Contact歐姆接觸
歐姆接觸是指金屬與半導體之接觸,而其接觸面之電阻值遠小於半導體本身之電阻,使得元件操作時,大部分的電壓降在於活動區(Active region)而不在接觸面。
 欲形成好的歐姆接觸,有二個先決條件:
(1)金屬與半導體間有低的界面能障(Barrier Height)
(2)半導體有高濃度的雜質摻入(N ≧1012 cm-3)
前者可使界面電流中熱激發部分(Thermionic Emission)增加;後者則使界面空乏區變窄,電子有更多的機會直接穿透
(Tunneling),而同使Rc阻值降低。
若半導體不是矽晶,而是其它能量間隙(Energy Cap)較大的半導體(如GaAs),則較難形成歐姆接觸 (無適當的金屬可用),必須於半導體表面摻雜高濃度雜質,形成Metal-n+-n or Metal-p+-p等結構。

ONO Oxide Nitride Oxide氧化層-氮化層-氧化層
 半導體元件,常以ONO三層結構做

為介電質 (類似電容器),以儲存電荷,使得資料得以在此處存取。
 在此氧化層-氮化層-氧化層三層結構,其中氧化層與基晶層的接合較氮化層好,而氮化層居中,則可阻擋缺陷 (如pinhole)的延展,故此三層結構可互補所缺。

OPL (Op Life) Operation Life Test使用期限(壽命)
任何物件從開始使用到失效所花時間為失效時間 (Time of Failure: TF), 對產品而言, 針對其工作使用環境 (Operation),所找出的TF, 即為其使用期限(Operation Life Time)。其方法為 :
AF = exp [ β (Estress-Eop) ] * exp [ Ea/K (l/Top -1/Tstress ) ] ‥(1)
K=8.63 * 10-5
Failure Rate λ(t)= no. of Failure * 109/ Total Test Time * AF *Device ,
Total Test Time * AF= Operation Hours




OXYGEN氧氣
無色,無氣味,無味道雙原子氣體。在-183℃液化成淺藍色的液體,在-218℃固化。在海平面上,空氣中約佔20%體積的氧,溶於水和乙醇,不可燃,可以助燃。
在電漿光阻去除中,O2主要用來去除光阻用。
在電漿乾蝕刻中,O2,混入CF4氣體中,可增加CF4氣體的蝕刻速度。
目前O2氣主要用途在於電漿光阻去除。利用O2在電漿中產生氧的自由基(RADICAL),與光阻中的有機物反應產生CO2和H2O氣體蒸發,達到去除光阻的效果。

P磷
.自然界元素之一。由15個質子及16個中子所組成。
.離子植入的磷離子,是由氣體PH3,經燈絲加熱分解得到的P+離子,藉著Extraction抽出氣源室經加速管加速後﹒佈植在晶片上。
.是一種N-type離子,用做磷植入,S/D植入等,


PARTICLE CONTAMINATION塵粒污染
“塵粒污染”:由於晶片製造過程甚為漫長,經過的機器、人為操作處理甚為繁雜,但因機器、人為均或多或少會產生一些塵粒PARTICLE,這些塵粒一旦沾附到晶片上,即會造成污染影響,而傷害到產品品質與良率,此即“塵粒污染”。我們在操作過程中,應時時防著各項塵粒污染來源。

Particle Counter塵粒計數器
潔淨室之等級是以每立方呎內之微粒數為分類標準,而計算微粒數的儀器即稱塵粒計數器,

Passivation OXIDE P/O護層
為IC最後製程,用以隔絕Device和大氣。可分兩種材料:a﹒大部分產品以PSG當護層(P Content 2-4%),b.少部分以PECVD沉積之氮化矽為之。
因與大氣接觸,故著重在Corrosion(鋁腐蝕)、Crack(龜裂)、Pin Hole(針孔)之防冶。
除了防止元件為大氣中污染之隔絕之外,護層可當作下層Metal層之保護,避免Metal被刮傷。

P/D Particle Defect塵粒缺陷
Particle Defect塵粒缺陷為當今影響4M DRAW製程良率的最大主因,一般而言,Particle size如大於design rule的二分之一,足以造成元件的損壞。放在 clean room 的潔淨度要求,操作人員的潔

淨紀律、設備本身的結構以及製程的條件和設備維修的能力,無一不為了降低particle 和提升良率而做最大的努力。

PECVD電漿CVD
CVD 化學反應所需之能量可以是熱能、光能或電漿。以電漿催化之CVD稱做PECVD。PECVD的好處是反應速率快、較低的基板溫度及Step Coverage;缺點是產生較大的應力,現Feb內僅利用PECVD做氮化矽護層。
 PECVD英文全名為Plasma Enhancement CVD。

Pellicle光罩護膜
一般在光罩曝光過程中,易有微塵掉落光罩上,而使chip有重覆性缺陷,放在光罩上下面包圍一層膜,稱之Pellicle。
 好處如下:
1﹒微塵僅只掉落在膜上,光繞射結果對於此微塵影響圖
按程度將降至最低。
2﹒無須經清洗過程而只須用空氣槍吹去膜上異物即可將異物(微塵)去除。


PELLICLE光罩保護膜
顧名思義,光罩保護膜之最大功能,即在保護光罩,使之不受外來髒污物之污染,而保持光罩之潔淨;一般使用之材料為硝化纖微素,而厚度較常用的有2.85U,0.86U兩種。
一般而言,可將PELLICLE分為兩部份I)FRAME:骨架部分,支持其薄膜之支架,其高度稱為STAND-OFF,一般而言,愈高其能忍受PARTICLE之能力愈高,但須配合機台之設計使用,(II)FILM:透明之薄膜,其厚度之均勻度,透光率是使用時重要之參數。
 PELLICLE之壽命,除了人為損傷外,一般均可曝光數十萬次,透光率衰減後才停用並更換。

光罩
PELLICLE膜
LENS SYSTEM
PARTICLE
WAFER
PELLICLE面之成像

PH3氫化磷
.一種半導體工業用氣體。
,經燈絲加熱供給能量後,可分解成: P',PH+,PH2+。(及
H+)
.通常 P+最大。可由質諳諳場分析出來,做N-type之離子
佈植用。

PHOTORESIST光阻
"光阻"為有機材料,係利用光線照射,使有機物質進行光化學反應而產生分子結構變化,再使用溶劑使之顯像。
目前一般商用光阻主要含二部份(1)高分子樹脂(2)光活性物質,依工作原理不同可分為正,負型二類:
(1)正型:光活性物質為DIAZOQUINOUE類,照光前難溶於鹼液中,有抑制溶解樹脂功能,照光後產生酸,反有利於鹼液溶解,因此可區分曝光區與非曝光區。
(2)負型:光活性物質為DIAZlDE類,照後生成極不安定之雙電子自由基,能與高分子樹脂鍵結,而增加分子量,選擇適當溶劑便可區分分子量不同之曝光區與非曝光區。

Pilot Wafer試作晶片
Pilot Wafer為試作晶片,並非生產晶片 (Prime Wafer)。在操作機器前,為了確定機器是否正常所作的試片,或機器作完維修、保養後所作的測試用晶片均稱為Pilot Wafer, 由於Pilot Wafer 所作出來的結果將決定該批的製程條件,故處理Pilot Wafer時, 所抱持的態度必須和處理Prime Wafer一

樣慎重。

PIN HOLE針孔
在光阻製程所謂的針孔,就是在光阻覆蓋時,光阻薄膜無法完全蓋住晶片表面,而留有細小如針孔般的缺陷,在蝕刻製程時,很可能就被蝕刻穿透,而致晶片的報廢。
在以往使用負光阻製程時,由於負光阻黏稠性較大,覆蓋較薄,因此,容易出現針孔,故有些層次(如 CONTACT),必須覆蓋兩次,才能避免針孔的發生。
目前製程大多使用正光阻,覆蓋較原,已無針孔的問題存在,QC亦不做針孔測試。

Piranha Clean過氧硫酸清洗
過氧硫酸 (Peroxymonosulfuric Acid)又稱為CARO's acid,其主要由硫酸加雙氧水反應生成,反應式如下:
H2SO4+H2O2 <=>H2SO5+H2O
H2SO5為一強氧化劑,可將有機物氧化分解為CO2+H2O,因此在 IC 製程中常用來去除殘餘之光阻,另外對金屬污染及微塵污染也有相當好的清洗效果。
Piranha原意為食人魚,在這裡則是用來形容過氧硫酸與光阻之間的劇烈反應。

PIX聚醯胺膜
PIX作用為緩衝護層,可保護CELL於封裝時緩衝封裝所造成之應力,且可隔絕α-Particle, PIX本身為一負光阻,其製造過程如附圖。


Plasma Etching電漿蝕刻
在乾蝕刻(Dry Etch)技術中 ,一般多採用電漿蝕刻(Plasma Etching)與活性離子蝕刻(Reactive Ion Etching),通常電漿蝕刻使用較高之壓力(大於200mT)及較小之RF功率,當晶片浸在電漿之中,曝露在電漿之表層原子or分子與電漿中之活性原子接觸並發生反應而形成氣態生成物而離開晶面造成蝕刻,此類蝕刻即稱之為電漿蝕刻。所謂電漿(Plasma)即為氣體分子在一電場中被游離成離子(正、負電荷)、電子、及中性基(Radical)等,在純化學反應中,吾人取中性基為蝕刻因子,在R.I.E時,取活性離子作為蝕刻因子。

PM Preventive Maintenance定期保養
設備正常運轉期間停機,實施定期 (每天、每週、每月或每季等)的設備保養。例如:檢修,上油,潤滑,更換消耗材等。有良好的PM才能發揮高的設備運轉效率,發揮設備最高的使用率。

POCL3三氯氧化磷
.一種用做N+擴散用之化合物。
.通常以N2為"載氣"(Carrier Gas),帶著POCL3和O2 (氧氣)一起進入高溫爐管,然後產主下列反應:
4POCL3 + 3O2 → 2P2O5 + 6Cl2
5P2O5 + 5Si → 4P + 5SiO2
在反應過程中,磷沉澱於矽表面,同時矽表面亦形成一氧化層。

POLY SILICON複晶矽
SILICON是IC製造的主要原料之一。通常其結構都是單晶(單一方向的晶體)。而本名詞也是SILICON,只是其結構是複晶結構。即其結晶的結構是多方向的,而非單一方向。
POLY SILICON通常用低壓化學氣相沉積的方法沉積而得。其主要用途在作MOS的閘極及單元的連接。

P0X聚醯胺膜含光罩功能
POX為PIX/PO Reticle Combine

略寫,即PIX除具緩衝
護層之作用,同時可做PO Pattern
用之光阻。PIX, 本身為一負光阻。
其製造過程如附圖。



Preheat預熱
做金屬濺鍍時。第一個Station是用來預熱晶片其目的有二:使晶片在大氣中吸附的氣體,藉加熱加速其在真空中之排除(Outgas),濺鍍時,可以有較乾淨的界面。?晶片溫度高,濺鍍之金屬原子可以有較高的移動率,而使表面擴散較完全,有較好的表面覆蓋性(Step Coverage)。
但預熱的溫度有其限制,高的濺鍍溫度使得金屬與矽之接觸電阻(Rc)升高,也使得金屬突起(Hillock)變得嚴重,而讓表面反射率變差。在金屬閘(Metal Gate)產品,也發現溫度不同會造成其臨界電壓(VT)的改變。


pressure壓力
氣體分子撞擊反應室之器壁所產生之力量。氣體分子愈少、壓力愈低。反之氣體分子愈多、壓力愈高。
.如壓力之大氣壓力(1 atm)時,表示真空,其壓
力單位即為真空度。
1大氣壓=latm=760mmHg水銀柱壓力
1 Torr (托) = 1/760 atm=lnnHg

.如壓力>大氣壓力時,即用單位面積所受的重
量表示。
如Kg/cm2,或psi(lb(磅)/in2(吋))。
一般電漿蝕刻機之壓力為5Omillitorr ~
0.5rorr
一般使用之氣瓶之壓力約為5OOpsi~ 2OO0psi。


Reactive Ion Etching R.I.E活性離子蝕刻
在電漿蝕刻時,電漿裡包含了活性原子、活性離子 (正離子)及電子,當壓力較低(小於100mT)且氣體兩端所加之電壓(RF Power)夠高時,活性離子即被迅速加速衝向電極上之晶片,。而撞擊晶面上曝露在電漿中的表層,將表層之原子擊出,再與活性原子反應因而造成蝕刻,此類之蝕刻即稱之為活性離子蝕刻。


RECIPE程式
RECIPE在字典的解釋是醫生的處方,廚師的食譜。在IC製程中,則意指製程的程式。IC製造中各個步驟都有不同的要求:如溫度要多少?某氣體流量多少?反應室的壓力多少?等等甚多的參數都是RECIPE內容的一部份。


REFLOW回流
回流是IC製程中一種特殊技術。作法是將磷或硼或兩者合一,摻入二氧化矽中(常用CVD方式)。之後,將晶片推入高溫爐管一段時間,該二氧化矽層(PSG BPSG或 BSG) 即會"流動",使晶片表面變得較平坦。此即回流平坦化技術。回流取該氧化層"重新流動"之意。

Registration Error註記差
IC晶片的兩個層次之間,必須要正確地疊在一起,此二層次圖案離完全正確對準之差距,即稱為Registration Error (註記差);如下圖之游標(Vernier)即為顯示註記差之程度:



RELIABILITY可靠性
可靠性實在有很多方法來描述,但我們只針對兩個觀點來討論。一般來說,可靠性就是客戶對我們的產品,在他們使用一段很長的時間之後,仍能符
合他

們的信賴與期待。更精確的描述就是我們的產品在我們所要求的特殊環境的測試,經過一段很長時間之後,仍能確保IC功能,函數的正常操作稱之為可靠性合格產品。
測試的項目很多,但總離不開,電壓、溫度機械應力,溼度及壓力等。


Repeat Defect重複性缺點
重複性缺點 (Repeat Defect)係指同一晶片內每一個Field(曝光區)的相同位置均出現相同之缺點。
重複性缺點僅發生於Stepper曝光之產品。
重複性缺點所產生的現象可分為二種:
1. 1.光罩圖案缺失:造成晶片圖案缺失;
2. 2.光罩表面或Pellicle表面污染:造成重複性顯影不良。
重複性缺點對產品良率有很大的殺傷力,例如一個Field內有8個晶方,若有一個晶方圖案有缺失,就會造成產品良率1/8之損失,因此重覆性缺點是VLSI的頭號殺手。


Resistivity阻值
.物理學上定義阻值(Ω,即歐姆)為

R=ΔV/I


在物體兩截面上通以定電流V,量得電壓降ΔV,則ΔV /I即為這物體的阻值。
.但在半導體工業上,這樣定義阻值並無太大實用價值。我們只關心晶片表面薄薄一層"動作區" (Active Area)的阻值。
於是另外定義一"薄層阻值" (Sheet Resistance),以四點針測的方法量取ΔV及I(見四點針測一文)。

Rs = ΔV/I (ΔV /口)
定義為晶片的阻值。


Resolution解析力
解析力在IC製程的對準及印刷(Align & Print)過程中佔著相當重要的地位,尤其演進到VLSI後,解析力的要求就更高了,它是對光學系統(如對準演、顯微鏡、望遠鏡等)好壞的評估標準之一,現今多以法國人雷萊(Rayleigh)所制定的標準遵循之。
定義--物面上兩光點經光學系統投於成像面上不會模糊到只被看成一點時,物面上兩點間之最短距離。若此距離愈小,則解析力愈大(通常鏡面大者,即NA大者,其解析力也愈大)

解析力不佳時,例如對準機對焦不清(Defocus)時,就會造成CD控制不良,Metal橋接,Contact瞎窗或開窗過大等。

Reticle光罩
為使 IC 各個線路在晶片上成形(PATTERN),則必須有規範露光及遮光區域 (規範曝光成形) 的罩子, 此稱為光罩。




Rework/Scrap/Waive修改/報廢/簽過
修改: 分ADI修改,AEI修改
ADI修改:將光阻去除,重新上新光阻,
以定義新的或精確的圖形。
AEI修改:將己沉積或氧化的厚厚膜或薄
層去除,重新沉積或氧化。
報廢:晶片受污染或流程不合規範上之規定,造
成晶片有無良率之可能,則停止流程不繼
續生產。謂之。
簽過:當晶片流程至某步驟時,發現圖形或規格
不合於規範內之規定,但其影響不致使晶
片達報廢之程度,可由工程師簽署,繼續


流程。


Run in/out擠進,擠出
對準不良的一種;
擠進(Run in):不管是在水平或垂直方向晶片中央
附近對準良好,而兩邊圖案向中央
擠進。
擠出(Run out):不管是在水平或垂直方向晶片中央附近對準良好,而兩邊圖向中央擠出。


如上圖所示。綠色表Run out;紅色表Run in。

Scrubber刷洗機
1.在沉積或蝕刻製程之後常會有些微塵落在晶片表面,此種P/D可刷洗去除,避免對良率的傷害。
2.依照膜的性質,及機台的特性不同,通常我們有下列5種不同刷洗方式:
-去離子水沖洗
-毛刷刷洗
-高壓水刷洗
-毛刷加高壓水刷洗
-晶片雙面刷洗


SDA Software Defect Analysis缺陷分析軟體
將每片晶圓及晶片上的缺陷送入電腦中,利用缺陷分析軟體, 將缺陷分類,以便利統計及分析的工作。
目前89﹪微縮型產品分類如下:

SBIT PBG PBTL CLTT OTHT
PROW HROW SROW FROW 2ROW
NROW OCL1 OCL2 QCL1 QCL2
HCL1 HCL2 OTC0 WCL1 WCL2
YSEL NCOL LCI0 BLK1 BLK2
BLK3 OTHR APED RWCL

目前 HYDRA 產品分類如下

SBIT PBCT PBTL CLTT OTHT
PRW1 PRW2 PRW3 FROW 2RW1
2RW2 NRW1 NRW2 OCL1 OCL2
QCL1 QCL2 HCL1 HCL2 WCL1
WCL2 YSEL NCOL APED RWCL
BLK1 BLK2 BLK3 OTHER

(以上均為分類時使用之標示名稱)°


SEM Scanning Electron Microscopy電子顯微鏡
電子頭微鏡的解像能力介於光學顯微鏡與穿透式電子顯微鏡之間,可用於檢驗固體試片,由於視野縱深長,可顯示清晰三度空間像。
SEM最常用之運作方式為發射電子束方式(EMISSIVE MODE),電子由燈絲放出,而由約5 - 3OKV之電壓加速 ,再經過電磁透鏡使電子束聚集,照射至試片表面。一般使通過掃描線圈之電流同時通過相對應之陰極線管偏折電子束,而在螢光幕上產生相似而較大之掃描動作,達到放大之作用。


Selectivity選擇性
兩種材抖,分別以相同的酸液或電漿作蝕刻其兩蝕刻率之比值,謂之:
例如,複晶電漿蝕:
對複晶之蝕刻率為2OO0? /min (分)
對氧化層之蝕刻率為20O? /min (分)
則複晶對氧化層之選擇性:S
20OO ?/min
S= =10
2OO ?/min

選擇性愈高表示蝕刻特性愈好,一般乾式蝕刻選擇性較化學濕蝕刻為差,吾人取較高的選擇性之目的即在於電漿蝕刻專心蝕刻該蝕刻之氧化層,而不會傷害到上層光阻或下層氧化層,以確保蝕刻之完整性。

Silicide矽化物
一般稱為矽化物 (Silicide),指耐火金屬 (Refratory Metal)之矽化物,如鈦(Ti)、鎢(W)、鉬 (Mo)等元素矽(Si)結合而成之化合物 (TiSi2、WSi2、MoSi2)。
矽化物應用在元件之目的,主要為降低金屬與矽界面、閘極或電晶體串連之阻抗,以增加元件之性能。以鈦之矽化物

為例,其製造流程如下所示:



Silicide金屬矽化物
"Silicide"通常指金屬矽化物,為金屬輿矽之化合物。在微電子工業矽晶積體電路中主要用為:
(1) 導體接觸(Ohmic Contact)
(2) 單向能阻接觸(Schottky Barrier Contact)
(3) 低阻閘極(Gate Electrode)
(4) 元件間通路(Interconnect)
在VLSI(超大型積逞電路)時代中,接面深度及界面接觸面積分別降至次微米及1-2平方毫米。以往廣泛應用為金屬接觸的Al,由於嚴重的穿入半導靠問題,在VLSI中不再適用。再加上其他技術及應用上的需求,金屬矽化物在積體電路工業上日益受重視。
用於積體電路中之金屬矽化物限於近貴重(Pt,Pd,Co, Ni,…)及高溫金屬(Ti,W,Mo,Ta)矽化物。


SILICON矽
矽--SI (全各SILICON)為自然界元素之一種,亦即我們使用的矽晶片組成元素,在元素週期表中排行14,原子量28.09,以結晶狀態存在(重複性單位細胞組成),每一單位細胞為田一個矽原子在中心,與其他4個等位矽原子所組成之四面體(稱為鑽石結構)如圖示中心原子以其4個外圍共價電子與鄰近之原子其原形或其價鍵之結合。矽元素之電子傳導特性介於金屬導體與絕緣體材料之間(故稱半導體材料),人類可經由溫度之變化,能量之激發及雜質滲入後改變其傳導特性,再配合了適當的製程步驟,便產生許多重要的電子元件,運用在人類的日常生活中。


SILICON NITRIDE氮化矽
氮化矽是SIxNy的學名。這種材料跟二氧化矽有甚多相似處。氮化矽通常用低壓化學氣相沈積法或電漿化學氣相沉積法所生成。
前者所得之薄膜品質較佳,通常作IC隔離氧化技術中的阻隔屑,而後者品質稍差,但因其沉積時溫度甚低,可以作IC完成主結構後的保護層。


SMS Semiconductor Manufacturing Systems半導體製造系統
此SMS-半導體製造系統為德州儀器公司 (TI)為輔助半導體的生產製造而發展出的一電腦軟體系統,其主要功能包含有:
1)製程變更控制
2)製程資料搜集與統計圖表
3)製程與操作規格制定
4)機台維護追蹤
5)生產計劃制定
6)線上統計報表
7)在製品操作與追蹤
8)自動化系統介面


Soft Ware, Hard Ware軟體,硬體
大略而言,所謂硬體可泛指像PC-BOARD,機台外殼等一些零組件;而軟體一般指運用程式,指令一套完整之控制系統,可經由程式、指令之修改而修改,以人為例子,軟體就好比腦中之記憶、思想,可控制整個身體各部分之動作,而硬體就好比人的手、足、眼、耳等器官;由以上之比喻,可知道軟體、硬體是相輔相成,缺一不可。
近來尚有一種介於Soft Ware、Hard Ware之間,稱為Firm-Ware,他的功用,就相當於把軟體寫入(比如PROM)。以加快速度,因此軟、硬

間的區分也變得較不明顯了。


S.O.G. Spin on Glass旋製氧化矽
旋製氧化矽 (Spin on Glass)是利用旋製晶片,將含有矽化物之溶液均勻地平塗於晶片上,再利用加熱方式與溶劑驅離,並將固體矽化物硬化成穩定之非晶相氧化矽。其簡單流程如下:
旋轉平塗→加熱燒烤→高溫硬化 (~450℃)
旋製氧化矽是應用在元件製造中,金屬層間之平坦化(Planization),以增加層與層之間的接合特性,避免空洞之形成及膜之剝裂。
其結構如圖表示:


S.O.J. Small Outline J-Lead Package縮小型J形腳包裝 I. C.

因外腳彎成"J"字形,且外伸長度較一般I.C﹒為小而得名。是記憶I.C﹒的普遍化包裝形態,為配合表面黏著技術的高集積度要求而誕生。


SOLVENT溶劑
1﹒兩種物奸相互溶解混合成一種均勻的物質時,較少的物質被稱為溶質,較多的物質,被稱為溶劑。例如:糖溶解於水中.變成糖水,則糖為溶質,水為溶劑,混合的結果,稱為溶液。
2﹒溶劑分有機溶劑典無機溶劑兩種:
2-1.有機溶劑:分子內含有碳(C)原子的,稱為有機溶劑,例如:丙硯
(CH3COCH3),IPA(CH3CHOHCH3)
2-2.無機溶劑:分子內不含有碳(C)原子的稱為無機溶劑
例如:硫酸(H2SO4),輕氟酸(HF)
3.在FAB內所通稱的溶劑,一般是指有機溶劑而言


SPECIFICATION(SPEC)
規範是公司標準化最重要的項目之一,它規定了與生產有關事項的一切細節,包括機台操作,潔淨室,設備及保養,材料,工具及配件,品管,可靠性,測試‥‥等等。
IC製造流程複雜,唯有把所有事項鉅細靡遺的規範清楚,並確實執行,才可能做好品質管制。所有相關人員尤其是現場操作人員底隨時確實遵照規範執行,檢討規範是否合理可行,相關規範是否有衝突,以達自主管理及全員參與標準化之目標。

Spice ParameterSPICE參數
SPICE是一個分析非線性DC、非線性瞬間AC和線性AC行為的電路模擬程式。其由各種不同的半導體元件模式計算之,有DIODES,BJT'S,JFET'S, MOSFET'S等。利用此種模式計算模擬實際半導體電路的工作情形。而使用於這些模型上的計算參數統稱「SPICE參數」。
Spreading Resistance Analysis展佈電阻分析 S.R.A.
在一些情況下,可利用S.R.A.方法來得到其Resisitivity:
(1)n on n+ layer, p on p+ layer
(2)n on n layer, p on p layer
(3)depth profiling
(4)lateral profiling
(5)very small areas
在量測Resistivity 的方法有恨多,但若要降低校正,則一定要使用到Point-Contact Probe的展佈電阻。
下列是一些不同展佈電阻Probe安排:

Broad Area Contact是作為Current-return path.

兩個極接近點接觸,所量得電阻值,是兩個展佈電值的和(具有再造性的問題)優點是有compact及s

elf contained

Current in與Current out有各別的Point-contact Probe,而一Probe為電圧位降。
SPUTTERIWG濺鍍
濺射乃是帶能量的離子撞擊物體,致使表面的原子飛散出來,附著於基板上形成薄膜之現象。當所加電流為直流時,稱為直流濺射(D.C. SPUTTERING):所加電流為射頻時,稱為射頻濺射(RADIO FREOUENCY SPUTTERING)。
基於經濟及效率觀點,氬氣為最常採用之氣體。當氬氣被快速電子碰撞時產生氬離子,此時電子數目增加並且同時受電場再加速,以便再次進行游離反應,如此不去如同雪崩 (AVALANCHE)一樣產生輝光放電(GLOW DISCHARGE),氬氣離子受陰極(靶材)吸引,加速碰撞靶材,將表面原子打出而吸附在基板上。
由於濺射有薄膜厚度容易控制,組成均勻,表面相當平滑等優點,因此被電子工業廣泛地使用。

SSER System Soft Error Rate Test系統暫時性失效比率測試
Soft Error為所有揮發性元件之共有特性。對 DRAM而言,每記憶細胞 (Memory Cell)所存電荷 (charge-to-sense)存在一可開關的接面 (Junction), 以空乏 (depleted) 的狀態存在。 當該細胞有高能粒子源 (e.g. α-particle From molding compound) ,使所存電荷消失或減少到無法偵測時,該細胞便暫時失效。

STEP COVERAGE階梯覆蓋
“STEP COVERAGE”係指晶片上各層次間各項薄膜、 沈積材料等,當覆蓋、跨越過底下層次時,由於底下層次高低起伏不一,及有線條粗細變化,致會造成此薄膜、沈積材料在產品部份區域(如高低起伏交界處)覆蓋度會變差,此變差的程度,即為"STEP COVERAGE"一般係以材料之厚度變化比表示:
STEP COVERAGE = 厚度最薄處/厚度最厚處
此比例愈接近l愈佳,反之愈差
正常言均應達50%以上。

Stepper步進式對準機
Stepper(步進式對準機)係Step Projection Aligner之簡稱。
Stepper與Project Aligner原理類似,只是將每片晶片分為20~60次曝光完成。
Stepper使用自動對準,不但迅速、精準,且可始用電腦計算、補償。對準方式可分為 Global、 Die by Die、Advanced Global Alignment。 此三種方式均可補償因晶片形變造成之對準不良 (如Run in/Run out)。
Stepper亦可按縮影比例,分為1X、5X、10X三種。以最常見之5X為例,光罩上一條5μ 之直線,曝在晶片上,僅lμ而已。

Surface States表面狀態
表面狀態是介在Si-SiO2界面的正電荷,也叫做Interface States。
形成表面狀態的原因,是作氧化步躬時Si會從表面移去而與O2反應。當氧化停止時,有些離子Si會留在靠近界面處。這些未完全鍵結的Si離子會沿著表面形成一條正電荷Qss。電荷大小決定於下列因素:氧化速率、後續熱處理步驟及Crystal Orientation。
在{111}表面,良好的氧化步驟下,其表面狀態密

度的為5xlO10。charges/cm2(i.e. Qss=5xlO10q)。
而對於{100}的表面狀態密度約為{111}表面的1/3。

SWR Special Work Request
SWR為特殊工作要求單。生產線為了區劃正常流程晶片和工程實驗晶片,將工程師依規定申請實驗的晶片批稱為SWR Lot,通常SWR Lot係用來解決製程問題,或評估新機器、製程而試作的晶片。

TARGET靶
譯意為靶,一般用在金屬濺鍍(SPUTTERING) 也就是以某種材料,製造成各種形狀,用此靶,當做金屬薄膜濺鍍之來源。

TDDB Time Dependent Dielectric Breakdown介電質層崩潰的時間依存性
利用介電質崩潰時間(Time to Breakdown)TBD 與外加電場(電壓)的線性模型,作加速測試(Accelerated Test),對產品(介電質)壽命(Life Time)作一估算。

TBD αe –β Eox ………… (1)

AF=e –β(Eext-Eop)……… (2)

Life Time=T-50*AF… (3)


TECN Temporary Engineering Change Notice臨時性製程變更通知
臨時工程變更通知 (ECN)為工程師為了廣泛收集資料,或暫時解決製程問題,而做的製程變更,此一臨時性的變更將註明有效期限,以利生產作業。

英又名稱:TEOS Tetraethylor Thosilicate四乙基氧化矽
l﹒化學式:Si (OC2H5)4,於常溫下為液體態。
2﹒用途:於經化學反應後,可生成一層二氧化矽,
在IC裡通常被當作絕緣府使用。
3﹒反應方式:-高溫低壓分解反應
-常溫加入觸媒分解反應
-電漿促進分解反應

Threshold Voltage臨界電壓
當我們在MOS電晶體之源極(Source)及汲極(Drain)加一個固定偏壓後,再開始調整閘極(Gate)對基質(Substrate)的電壓,當閘極電壓超過某一個值之後,源極和汲極間就會產生電流而導通(Turn on),則我們就稱此時的閘極電壓稱為臨界電壓(Threshold Voltage)。
*NMOS電晶體的臨界電壓相對於基質為正。
*PMOS電晶體的臨界電壓相對於基質為負。
一般在製程上我們會影響臨界電壓的因素主要有二:
1. 1.閘極氧化層厚度:Gate Oxide越厚,則Vγ(絕對質)越高。
2. 2.基質滲雜的濃度:Vγ植入Dose越高,則Vγ越高。

Through Put產量
Through Put為單位工時之產出量,例姐某機器每小時生產100片,則稱其Through put = lOO片/小時。如果每天運作21小時,則每天的Through put為2100片/天。
IC工業係許多昂貴且精密的設備投資,故必須充分利用,維持生產的順暢,發揮其最大的效能。故高的Through put為我們評估機器設備的一項很重要的因素之一。
除了設備上發揮其最大產能外,必須要配合人為的力量,如流程安排、故障排除、‥‥等,亦即必須"人機一體"才能發揮生產的整體效益,達到最高的生產力(Productivity)。

TMP TI Memory Prototype ,TMS-X TI Me

mory Standard Product記憶體產品樣品(原型),TI記憶體標準產品
在TI的產品出貨控制 (Product Outgoing Control)中 , 以Qualification(資格審定)為里其程碑:
(l) Qual以前:均為TMP產品,見附表。
(2) Qual以後:分為TMS-A, TMS-B,TMS-C及Special, 其可靠度保證,客戶分怖見附表。
TMP TMS-A TMS-B TMS-C SPECIAL
Qualification X V V V V
Baseline product X V V X X
REL Assurance X V V X X
Major Customer X V X X X
Minor Customer X V V X X
Selected Customer V X X V V


TOX氧化層厚度
"TOX"係THICKNESS OF OXIDE之縮寫,即一般所謂氧化層厚度。
通常於氮化矽蝕刻,複晶及接觸窗蝕刻完,均須作TOX之測量,藉以確認該層次蝕刻完是否有過蝕刻或蝕刻不足之現象。

Trouble Shooting故障排除
在生產過程,因為4M,即設備、材料、人為、方法等,造成之一切問題而阻礙生產。例如,機器Down機、製程異常…等。工程人員解決以上所發生的問題,使這些"故障"消弭於無形謂之Trouble Shooting,故障排除。

Undercut底切度
1.所謂"底切度"(Undercut),乃是蝕刻時的專用術語,簡單的說,Undercut便是原來所定義出來的圖形間偏離度的大小。
3. 3.以下圖說明


如上圖,原來定義之圖形其寬度為dm,但蝕刻後變為df。故其Undercut = df- dm/2。
3.對於等向性蝕(Isotropic Etching),Undercut較大,而對於完全非等向性蝕刻(Full Anisotropic Etching),其Undercut等於零,亦即能忠實地將原圖形複製出來。


Uniformity均勻度
均勻度Uniformity是一種測量值的平均分佈。藉以表示晶片內各測量點的數值或是晶片間其測量值的變化。在IC製程中,常用以表示薄膜厚度,線寬(CD)在整片晶片內或晶片間的分佈。其表示方法如下:



均勻度愈小,表示各點變化愈小。亦即表示晶片製程品質較佳,也是製程能力愈好的表現。

Vacuum真空
真空係針對大氣而言,一特定空間內的部份氣體被排出,其壓力小於1大氣壓。
表示真空的單位相當多,在大氣的情況下,通稱為l大氣壓,也可表示為760torr或760mmHg或14.7psi。
真空技術中,將真空依壓力大小分為4個區域:
1.粗略真空(Rough Vacuum) : 760~1 torr
2.中度真空(Medium Vacuum): 1~10-3 torr
3.高真空 (High Vacuum) : l0-3~10-7torr
4.超高真空(Ultra-High Vacuum): 10-7torr以下
在不同真空,氣體流動的型式與熱導性等均有所差異,簡略而言,在粗略真空,氣體的流動稱為黏滯流(Viscous Flow)。其氣體分子間碰撞頻繁,且運動具有方向性;在高真空或超高真空範圍,氣體流動稱為分子流(Molecular Flow),其氣體分子間碰撞較少,且少於氣體與管壁碰撞的次數,氣體分子運動為隨意方向,不受抽氣方向影響。在熱導性方面,中度真空之壓力範圍其

與壓力成正比關係﹒粗略真空與高真空區域,則無此關係。

VACUUM PUMP真空幫浦
凡能將特定空間內的氣體去除,以減低氣體分子數目,造成某種程度之真空狀態的機件,統稱為真空邦浦。
目前生產機台所使用的真空邦浦,可分為抽氣式的有:旋片邦浦(ROTARY PUMP),魯式邦浦(ROOTS PUMP),活塞邦浦(PISTON PUMP),擴散邦浦 (DIFFUSION PUMP)。及儲氣式的有:冷凍幫浦(CRYO PUMP),離子邦浦 (ION PUMP)。
Viscosity黏度
"黏度"一詞專用於液體,意指當液體接受切應力時(指作用力方向與液體表面不垂直),液體就會產生形變,所以便定義"黏度"來表示示體產生形變程
度的大小。
黏度是可以調整的,因為液體受切應力而形變是巨觀形為的表現,所以在液體完全相溶前提下,可以加入不同黏度的溶劑來調整黏度。

VLF Vertical Laminar Flow垂直層流
在流體的流動狀態中,可分為層流 (Laminar Flow)及紊流(Turbulent Flow) 兩種。一名叫Osborne Reynold的人利用一簡易的實驗將其界定,而雷諾數即為層流及紊統的界定值。
一般流體流速較快者其流線 (streamiline)分子易受干擾,且雷諾數大易形成紊流,反之,則易形成層流。
(雷諾數,慣性力/粘滯力)。
在無塵室晶片製造場所內,其氣流為穩定之層流,如此可將人員、機台等所產生之微塵帶離。若為紊流,則微塵將滯流不去。因此在無塵室內機台的佈置及人員的動作都以儘量不使空氣流線產生紊流為原則。


WELL/Tank井區
WELL即井區。在IC中的元件MOSFET(即金氧半場效電晶體),常作兩型(N及P)相接的方式,即CMOS技術。此時為區分這兩種不同型的MOSFET
,就須先擴散兩個不同型的區域於IC中。此種區域即稱為WELL區。

WLRC Wafer Level Reliability Control晶圓層次(廠內)可靠度控制
WLRC 是取代"End-of-line-reliability"的一種全新的可靠度監控方式,主要分物性 (In-line Scrap),如厚度、材料、應力、接觸窗覆蓋率;另有電性(成品Scrap),如TDDB,CHC EM Stress等。比較如下:
l﹒回領
Characteristic WLRC End-Of-Line-Reliability
1.回饋(Feed back)時間 快,使產品損失減到最低 慢,出問題時已大量產品被影響
2.真正原因的回饋性 良好,能馬上找出問題所在 困難,因包裝後產品的Data Association(資料
3.Wafer Level Qual與Design-Reliability的應用 卓越 困難
4.產品報廢 較多 少
5.加速係數及準確性 高,較差 低、高

WLQC Wafer Level Quality Control晶圓層次(廠內)品質控制
先定義:
客戶眼中的品質:產品有問題,就是品質不良
我們眼中的品質:出廠前看得到,量得到的問題,才是品質(Quality)我們眼中的可靠度:出廠前看不到,又不能直接量得到的問題,在客戶手中卻發生問題,是可

靠度(Reliability)
所以,WLQC是針對一切廠內可直接測之(time-zero measurement),對品質有所影響的參數進行篩選及分類。對外,使出貨品質分佈集中、均勻(假設某可靠度特性不變)。對內,回饋廠內,增進製造品質。

X-ray LithographyX-光 微影技術
在次微米微影成像技術中﹒X-射線微影技術倍受矚目。由於X-射線之波長甚短(約4~10 ?。故可得甚佳之解析力,同時亦無干涉及繞射現象,因此可製作次微米線線之IC圖案。
這種以X-射線為曝光光源之微影技術,目前仍在開發中。
由於X-光穿透力甚強,其光罩上圖案不再是鈷膜,而是一般大都為"金"。

Yellow Room黃光室

黃光室(Yellow Room)就是所有光源(照明用)均為黃色光波波長者之區域。由於IC晶方內之圖案均有賴光阻劑(Photo-resist)覆蓋在晶片上,再經曝光,顯影而定型;而此光阻劑遇光線照射,尤其是紫外線(UV)即有曝光之效果,因此在顯影完畢以前之生產,均宜遠離此類光源。黃光之光波較長,使光阻劑曝光之效果很低﹒因此乃作為顯影前之照明光源。






IC 工艺名词解释(1)
Accounting
影响工厂成本的主要因素有哪些?
答:Direct Material 直接材料,例如:蕊片 Indirect Material间接材料,例如气体… Labor人力 Fixed Manufacturing机器折旧,维修,研究费用……等 Production Support其它相关单位所花费的费用
在FAB内,间接物料指哪些?
答:Gas 气体 Chemical 酸,碱化学液 PHOTO Chemical 光阻,显影液 Slurry 研磨液 Target 靶材 Quartz 石英材料 Pad & Disk 研磨垫 Container 晶舟盒(用来放蕊片) Control Wafer 控片 Test Wafe r测试,实验用的蕊片
什幺是变动成本(Variable Cost)?
答:成本随生产量之增减而增减.例如:直接材料,间接材料
什幺是固定成本(Fixed Cost)?
答:此种成本与产量无关,而与每一期间保持一固定数额.例如:设备租金,房屋折旧及檵器折旧
Yield(良率)会影响成本吗?如何影响?
答:Fab yield= 若无报废产生,投入完全等于产出,则成本耗费最小CP Yield:CP Yield 指测试一片芯片上所得到的有效的IC数目。当产出芯片上的有效IC数目越多,即表示用相同制造时间所得到的效益愈大.
生产周期(Cycle Time)对成本(Cost)的影响是什幺?
答:生产周期愈短,则工厂制造成本愈低。正面效益如下: (1) 积存在生产线上的在制品愈少 (2) 生产材料积存愈少 (3) 节省管理成本 (4) 产品交期短,赢得客户信赖,建立公司信誉

FAC
根据工艺需求排气分几个系统?
答:分为一般排气(General)、酸性排气(Scrubbers)、碱性排气(Ammonia)和有机排气(Solvent) 四个系统。
高架 地板分有孔和无孔作用?
答:使循环空气能流通 ,不起尘,保证洁净房内的洁净度;

相关主题
文本预览
相关文档 最新文档