当前位置:文档之家› 简易洗衣机控制器设计

简易洗衣机控制器设计

简易洗衣机控制器设计
简易洗衣机控制器设计

武汉理工大学《数字电子技术基础》课程设计说明书

课程设计任务书

学生姓名:毛泽东专业班级:通信1100

指导教师:孙中山工作单位:信息工程学院

题目: 简易洗衣机控制器设计

初始条件:multisim仿真软件,74LS192四片,74LS48四片,数码管四片,74LS138一片,555一片,个门电路若干。

要求完成的主要任务:

(1)设计一个电子定时器,控制洗衣机工作

(2)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。

(3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。

(4)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

(5)画出电路原理图。

(6)进行电路的仿真与调试。

时间安排:

1、2013年5月17日,布置课设具体实施计划与课程设计报告格式的要求说明。

2、2013年6月28日至30日,方案选择和电路设计。

3、2013年7月1日至2日,电路调试和设计说明书撰写。

4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。

指导教师签名:2013年月日

系主任签名: 2013年月日

武汉理工大学《数字电子技术基础》课程设计说明书

目录

摘要.............................................................................................................................................. I Abstact.......................................................................................................................................... II 2概述 (1)

3易洗衣机控制器总方案及原理框图 (2)

3.1方案提出 (2)

3.2方案分析 (3)

4单元电路设计与参数的计算 (5)

4.1秒脉冲发生器 (5)

4.2分秒计时器 (6)

4.3数码显示器 (8)

4.4时间译码器 (8)

5总电路 (11)

6设计心得 (13)

7参考文献 (14)

附录 (15)

附录一元器件清单表 (15)

.附录二实际图片 (16)

摘要

本设计是有关简易洗衣机控制器的设计,利用数字电子技术与电路分析的知识,通过multisim仿真软件简单地设计并仿真出洗衣机控制器的工作过程。

设计电路中包含有脉冲发生器,分秒计时器,时间译码器及3-8译码器,在脉冲信号作用下,不同时刻输出不同的电平信号,以此控制洗衣机的工作过程,利用3-8译码器的作用完成洗衣机工作状态的转换。

关键词:简易洗衣机控制器;数字电子技术; mnltisim

Abstact

This design is about simple washing machine controller design, using the knowledge of digital electronic technology and circuit analysis to make the washing machine controller design and simulation work processes simply through the multisim simulation software .

This design contains a pulse generator circuit, minute and second timer, time decoder and 3-8 decoder, under the action of the pulse signal, at different times different level output signal, a controlled washing machine's working process, the 3-8 decoder used to complete the work status of washing machine conversion. Key words: digital ;mnltisim ;washing machine

1概述

本电路时间用主体是有计数器构成的计时电路,通过时间的采集来完成电机正反转停止的操作,再由几个开关与逻辑门实现控制。从信号发生器出来的信号,经过一个控制电路后进入秒计数器进行秒计数。用户初始化后置入洗涤时间,并按开始按钮,洗衣机开始工作。当分计数器变为零的时候,分钟计数器借数,控制电机断电与蜂鸣器发声。与此同时,从秒位借位转化出来的信号控制计数器与译码器和触发器与逻辑门组成的电机控制电路,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警;同时电机指示灯熄灭。复位之后可重新置入洗涤时间进行下一次开始。

2易洗衣机控制器总方案及原理框图

2.1 方案提出

方案一:设计一个电子定时器,用以控制洗衣机电机的运转。洗衣机电机的工作顺序:启动——>正转20s——> 暂停10s——>反转20s——>暂停10 s——>停止方案原理框图如下图所示。

图2-1 方案一

方案二:设计一个电子定时器,用以控制洗衣机电机的运转。有六十进制与一百进制共同构成,由秒脉冲激发以产生倒计时电路,然后有总控制电路,控制报警,控制电机转动,还有显示器的时间显示由译码器等完成。

图2-2 方案二

2.2 方案分析

本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,而是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到位置。当总定时时间在0~20min以内设定一个输入之后T为高电平1,然后用倒计时的方法每分钟减1直至T变为0.在此期间,若Z1=Z2=1,实现正转;若Z1=Z2=0,实现暂停;若Z1=1,Z2=0,实现反转。工作波形如下图所示。

图2-3 工作波形

实现定时的方法很多,比如采用单稳电路实现定时,又如将定时初值预置到计数器中,使计数器运行在减计数状态,当减到全零时,则定时时间到。而方案一的电路原理图就是采用这种方法来实现的。由秒脉冲发生器产生的时钟信号经60分频后,得到分脉冲信号。洗涤定时的时间的初值先通过拨盘或数码开关设置到洗涤时间计数器中,每当分脉冲到来计数器减1,直至减到定时时间到为止。运行中间,剩余时间经译码后在数码管上进行显示。

上述两种方案中,方案一为单线程控制,思路较清晰,并且实行起来比较简单,故本

设计采用方案一。

3单元电路设计与参数的计算

3.1 秒脉冲发生器

由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。因此,选择用555定时器构成多谐振荡器,使之产生周期为1s,频率为1Hz的脉冲。各参数经公式计算获得,电路设计如下。

图3-1 秒脉冲发生器电路图

仿真出来的输出信号见下图

图3-2 仿真输出波形

计算值:

()s 1C *R R 2*2ln T 21=+= (式3-1)

占空比=R1/(R1+R2)=77% (式3-2)

3.2 分秒计时器

一百进制分计数器和六十秒计数器的原理是相同的,不同的是它们的输入脉冲和进制不同而已。在此,用四片74LS192来实现分计数和秒计数功能,要求需要减计数,所以把它的UP 端接到高电平上去,DOWN 端接到秒脉冲上。十分秒位上的输入端B 、C 端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD 端和借位端BO 联在一起,再把秒位的BO 端和十秒位的DOWN 联在一起。当秒脉冲从秒位的DOWN 端输入的时候秒计数的74LS192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN ,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的BO 发出一个低电平信号,DOWN 为零时,置数端LD 等于零,秒十位完成并行置数,下一个DOWN 脉冲来到时,计数器进入下一个循环减计数工作中。

图3-3 非置位式分秒计数器

图3-4 74LS192秒分连接电路

其中J1,J2,J3,J4为置数开关,若不置数则为洗衣机原定工作时间10分钟,操作开关可使洗衣机工作时间变长;J5为清零开关,用来使定时清零;J6是暂停开关,可以手动对洗衣机进行暂停控制。

置数开关通过控制分十位的初始值进行定时置数;清零开关通过控制74LS192的清零端来控制清零;暂停开关是通过一个单刀双置开关在时钟脉冲与地之间进行切换实现暂停

功能。

3.3 数码显示器

数码显示部分主要是将洗衣剩余时间显示出来,通过七段共阴极数码管与4线-7段译码器74LS48联合使用,显示数码。

仿真中,数码显示使用的是数码管与74LS48集成的原件,实际焊接中使用的是分立原件。数码显示仿真结果如下图所示

图3-5 数码显示

3.4 时间译码器

在时间译码,状态转化的单元电路中,用三个指示灯,分别是绿灯代表“正转”、橙灯代表“暂停”、黄灯代表“反转”。从60秒到40秒内第一个灯亮,从40到30秒内是第二个灯亮。在30到10秒内是第三个灯亮,然后剩下的十秒钟是第二个灯亮,如此完成第一个循环,刚好一分钟,之后的时间依次循环。当时间减到0时,由报警灯亮,用红灯表示,提醒定时时间到。

由于Z1、Z2的定时长度可分解为10s的倍数,由秒脉冲到分脉冲的变换的60进制计数器的状态中可以找到Z1、Z2的定时信号,经译码后得到如波形Z1、Z2所示的信号。这两个信号以及定时信号T控制门输出后,得到推动电机的工作信号。仿真电路如下图示。

A——正转,橘红色灯亮

图3-6 正转状态

说明:由于138译码器的加入,使得当秒电路的高位在等于5和4的时候产生的效果是最下面的与门输出低电平,从而点亮二极管。

B——反转,蓝灯亮

图3-7 反转状态

说明:由于138译码器的加入,使得当秒电路的高位在等于1和2的时候产生的效果是最上面的与门输出低电平,从而点亮二极管。

C——暂停,绿灯亮

图3-8 暂停状态

说明:由于138译码器的加入,使得当秒电路的高位在等于0和3的时候产生的效果是最中间的与门输出低电平,从而点亮二极管。

D——时间到,红灯亮

图3-9 停止状态

说明:数码管显示“0000”的时候四个或非门输出四个高电平,再经过一个四输入与门输出高电平即点亮二极管,此时停止工作。

4 总电路

U 1474L S 138N

Y 015Y 114Y 213Y 312Y 411Y 510Y 69Y 7

7

A 1

B 2C

3G 16~G 2A 4~G 2B 5

U 7B 74L S 08N

U 7C 74L S 08N U 7D

74L S 08N

L E D 1

L E D 2

L E D 3

V C C 5V U 10D C D _H E X _G R E E N U 9D C D _H E X _G R E E N U 11D C D _H E X _G R E E N U 12

D C D _H

E X _G R E E N

U 5A 74L S 21N

L E D 4U 6A 4002B D _5V

U 6B 4002B D _5V U 8A 4002B D _5V U 8B 4002B D _5V

U 174L S 192N A 15B 1C 10D 9U P

5

Q A 3Q B 2Q C 6Q D 7D O W N 4~L O A D 11

~B O 13

~C O 12C L R 14U 2

74L S 192N

A 15

B 1

C 10

D 9U P 5Q A 3Q B 2Q C 6Q D 7D O W N 4~L O A D 11~B O 13

~C O 12C L R 14U 3

74L S 192N A 15

B 1

C 10

D 9U P

5Q A

3

Q B 2Q C 6Q D 7D O W N 4~L O A D 11

~B O

13~C O 12C L R 14U 4

74L S 192N

A 15

B 1

C 10

D 9U P 5

Q A

3

Q B 2Q C 6Q D 7D O W N 4~L O A D 11

~B O

13

~C O 12C L R 14V C C

5V

J 6K e y = S p a c e J 5

K e y = S p a c e

U 13A

74L S 04N

U 7A

74L S 08N A 1555_V I R T U A L

G N D

D I S

O U T

R S T V C C

T H R

C O N

T R I

V C C

5V R 1

10k Ω

R 2

68k ΩC 1

10μF C 2

0.1μF

U 15

1

U 16

图5-1 总电路图

总电路图:由各单元电路综合连接得到。此电路可基本实现洗涤状态、洗涤剩余时间

的显示,洗涤时间的预置,强制停机,启动可控等功能。

通过开关J1到J4,对计时器进行预置数,即进行定时。

用开关J5进行定时清零,开关J6进行手动暂停功能。

秒计时和分计时之间的74192芯片构成60进制计数器,由于是定时操作,作减法计数。

将计时的分钟十位通过3-8译码器74LS138输出,以指示灯表示信号,使洗衣机工作时满足60到40秒时正转,橘红色灯亮;39到30秒时暂停,绿灯亮;29到10秒时反转,蓝灯亮;9到0秒时暂停,绿灯亮。在这里的设计举例说明,例如29到10秒时蓝灯亮,此时分钟为1或2,所以此时的译码输出为Y1或Y2,致使蓝灯亮。

5设计心得

当得知设计课题为简易洗衣机控制器是,首先想到的是上网查资料。我下载了一下前辈们的设计图,对其进行分析、论证,终于对我的课题有了初步的了解,在大脑中形成自己的大体思路。同时,收集前辈们使用过的芯片资料,深入认识这一课题的解决方案,同时认识其中的优缺点,进行各方案之间的相互补充。在进行较深入的研究后,我的头脑中的思路更明晰,想法更完整,之后便开始在Multisim仿真软件中开始设计我的电路图,并与前辈们的电路图进行运行比较,研究需要改进的地方,在同学的帮助和指导下,终于大功告成,完成了电路图的设计仿真最关键环节。

通过这次课程设计,我学习到了很多东西,对于数电所学的知识进行了复习。本次课设中对简易洗衣机控制器的设计,让我对于数字电路和数字电路的工作状态有了切实的了解,比起平时做题,亲自动手进行实验测试仿真要更加令人印象深刻。由于这次是单独做一个课题,相比小组合作,需要投入的时间和精力要更多,这时更需要有自己的思考否则是不能解决遇到的问题的,对于这个简易洗衣机控制器,我也不敢说完全的弄明白了,还是有些不懂的地方,但是因为大部分都是自己动手去做的,所以即使如此,还是有了不少的收获,而且在仿真的时候不仅仅只用了multisim这一个软件,还用到了EWB,protus等,对这些软件的使用也熟悉了,总体来说,本次的数字电子技术课程设计使我对数电的理论和实际了解有所增加,增强了对问题的分析能力动手能力。

6参考文献

[1]谢自美主编.《电子线路设计实验测试》(第三版).华中科技大学出版社

[2]梁宗善主编.《新型集成电路的应用――电子技术基础课程设计》.华中科技大学出版社

[3]孙梅生等编著.《电子技术基础课程设计》.高等教育出版社

[4]阎石.《数字电子技术》(第五版).高教出版社

[5]康光华.《电子技术基础》.高教出版社

[6]刘可文.《数字电路与逻辑设计》.科学出版社

附录

附录一元器件清单表

1 单刀双掷开关5个

2 复位开关1个

3 10K电阻1个

4 72K电阻1个

5 5K滑动变阻器1个

6 10uf电容1个

7 0.1uf电容1个

8 橘蓝绿灯各一个

9 555 一片

10 74LS192 四片

11 74LS48 四片

12 七段共阴数码管四个

13 74LS138 一片

14 74LS08 一片

15 74LS20 一片

16 CD4002 两片

17 排针、排母、导线若干

·附录二实际图片

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

全自动洗衣机控制器

实验四全自动洗衣机控制器 一、实验目的 1.学习掌握全自动洗衣机的控制原理。 2.掌握基于有限状态机的控制电路设计方法。 二、预习要求 1.预习全自动洗衣机的控制原理和基于有限状态机的控制电路的设计方法。 2.画出洗衣机控制器包括不同洗衣模式的完整的状态转移图。 3.用Verilog HDL语言编程实现全自动洗衣机控制器,并进行时序仿真。 4.对顶层设计文件进行引脚锁定。 三、实验要求 1.设计一个全自动洗衣机控制器电路,实现对洗衣机的全自动控制。 根据全自动洗衣机的控制原理设计一个控制电路,使之能够控制全自动洗衣机完成整个工作过程。洗衣机工作过程分为两种情况: (1)全部自动完成 当按下复位按钮时,洗衣机上电,控制电路复位到初始状态(默认水位为“中”);使用者可根据衣服的多少,按下水位控制按钮,改变水位设置,以控制上水时加水的多少;当按下启动/暂停按钮时,洗衣机开始洗衣的第一个操作:进水阀门打开,开始上水,并根据水位设置(高、中、低、少)历时不同的时间timeadd(8s、7s、6s、5s);然后进水阀门关闭,电机开始运转,开始洗衣过程,并历时9s;然后电机停止运转,排水阀门打开,开始排水,并根据水位设置(高、中、低、少)历时不同的时间timedrain(7s、6s、5s、4s);然后排水阀门关闭,进水阀门打开,开始第二次上水,并历时timeadd……当甩干结束后,整个洗衣过程完成,扬声器发出持续15秒的急促的“嘀嘀”音,提示用户洗衣结束。正常运行状态下全自动洗衣机工作过程如图1. 1所示。 注意:在甩干过程中,电机一边高速旋转,一边排水。 图1. 1 正常运行状态下默认水位为“中”时全自动洗衣机工作过程从图中可以看出,洗衣机整个工作过程可分为9个状态,要求运用有限状态机的设计思想来实现。 (2)人工干预 在每个工作状态下,如果想要洗衣机暂停工作,可按下启动/暂停按钮,则洗衣机立刻暂停当时的操作。比如,在第一次加水过程中,若按下启动/暂停按钮,则进水阀门立刻关闭,暂停上水,计时暂停;当再次按下启动/暂停按钮,则进水阀门又打开,并继续计时,直到加水满timeadd后,进入洗衣过程。 洗衣机功能设置:

EDA-洗衣机控制器设计

沈阳理工大学 2010年12 月21日

主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20 秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 一、总体设计思想 1、基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

2、设计框图 二、设计步骤和调试过程 1、总体设计电路 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。 具体电路如下图所示:

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

全自动洗衣机控制系统设计开题报告精选文档

全自动洗衣机控制系统 设计开题报告精选文档
TTMS system office room 【TTMS16H-TTMS2A-TTMS8Q8TTMSHHJ8】

重庆科技学院
毕业设计(论文)开题报告
题目 全自动洗衣机控制系统设计
学 院 电气信息工程学院
专业班级 自升本 2011-1
学生姓名 黄浩然 学号
指导教师
张跃辉
年月日
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。 此报告应在指导教师指导下,由学生在毕业设计(论文)工作开始后 2 周内完成,经指导 教师签署意见及系主任审查后生效。
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式 (可从教务处网址上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教 师签署意见。
3.学生查阅资料的参考文献理工类不得少于 10 篇,其它不少于 12 篇(不包括辞典、 手册)。
4.“本课题的目的及意义,国内外研究现状分析”至少 2000 字,其余内容至少 1000 字。

毕业设计(论文)开题报告
1.本课题的目的及意义,国内外研究现状分析
1)本课题目的及意义
随着科技的迅速发展,人民生活水平的不断提高,洗衣机的发展也变得十分 迅速。人们对洗衣机提出了更高的要求,性能更好,操作更简单,更节能,智能 化的实现等。所以现代的洗衣机控制从以前的机械式,继电器式,渐渐的向电气 电子式发展。
以前的洗衣机都存在一些问题,比如:对衣物的磨损和伤害大,噪声大,耗 电量大,耗水量大,洗净度较低,操作复杂和稳定性差等问题。为了改善传统洗 衣机的性能,本次课题将解决这些问题,来提高洗衣机的洗净率、降低磨损率、 噪声,做到节能环保,使操作更加简单和稳定性更好。
目前市场上大多数洗衣机都是采用单片机作为控制器,因为单片机成本低, 体积小巧、功耗低,操作方便;但是单片机对环境的适应能力较低,可靠性差, 编写程序相对复杂,且硬件的复杂性高,增大了维修的难度和成本费用。
本次课题采用 PLC 作为洗衣机控制器,PLC 工作的环境要求低,可靠性高, 抗干扰能力强,编程简单,容易受计算机控制;PLC 是整体模块,集中了驱动电 路、检测电路和保护电路及通讯连网功能,使硬件相对简单可靠,维护起来更加 的方便。但是 PLC 的成本相对较高,只适合在工业中运用而不适合民用。
本次设计采用 S7-200PLC 作为洗衣机控制器,通过传感器(水位传感器,浊 度传感器)对水位,洗衣浊度进行监测,编写 PLC 程序对电动机转向、洗衣机电 磁阀门的开度和开关进行控制,并且能够实现自动调节时间,选择洗涤方式,控 制水位等。
本课题主要着重于对全自动洗衣机的控制,要求洗衣机能实现进水、洗涤、 排水、脱水、自动停止的循环过程。让洗衣机工作更加稳定,操作简单可靠,提 高衣服洗净度,让洗衣机更加智能节能化。

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

全自动洗衣机控制系统概况

合肥学院 计算机科学与技术系 微机原理与接口技术 课程设计 课程设计科目全自动洗衣机控制系统 学生姓名 学号 班级 指导教师高玲玲、肖连军

1、题意分析与解决方案 1.1 题意需求分析 根据以上题目所给的提示,我们对其进行解析:首先,刚开始的时候系统处于初始状态,准备好启动,然后一声蜂鸣表明洗衣机已经进入工作状态。当按下暂停键之后,9s的放衣服时间,然后选择洗衣周期,然后我们进入了洗衣状态。在洗涤的过程时,打开进水阀(此过程就是注水的过程),当到达预定水位时,按下水位开关,然后电机MO转动,在洗涤的过程中电机正反转三次后停止转动。然后进入脱水的过程,此时我们要打开排水阀,然后使电机正转,脱水结束后,电机停止转动。漂洗过程和洗涤的过程相似,只是在漂洗的时候,是把电机转动的次数改成正反转两次。甩干的过程和脱水的过程相似,只是电机转动的时间比脱水过程长一些。 从题意需求分析本课程设计需要解决的问题如下: (1)怎样用程序实现电机的正转反转; (2)我们怎么样在全速运行的条件下知道程序已经进入到哪一个步骤; (3)怎样分配按键,使程序尽可能的全自动化。 1.2 解决问题方法及思路 1.2.1硬件部分 本课程设计具体要求如下: (1)进水阀由继电器模拟; (2)洗衣流程进展过程由LED等指示; (3)预设水位由按键控制; (4)波轮旋转由电机控制。 此次课程设计中,我们在程序运行时,需要用到按键,所以对按键的分配如下:

表1-1 按键分配表 K1 暂停开关0 关闭 1 开启 K2 洗衣程序选择0 标准洗衣 1 经济洗衣 K3 水位开关0 低水位 1 高水位 本实验中我们要用到的硬件主要是8255A、LED指示灯、继电器、八路二进制开关、步进电机、蜂鸣器。 我们将LED指示灯接在8255A的PA口,而LED指示灯在此次实验过程中的作用就是指示洗衣流程进展(第5个灯亮表示在洗涤的过程,第6个灯亮表示在脱水的过程,在第7个灯亮表示在漂洗的过程,第8个灯亮表示在甩干的过程)和提示我们下一步应该怎样按键。将八路二进制开关接在8255A的PB口上,八路二进制开关在本实验中的作用是模拟洗衣机面板上的按键和水位开关的作用。将步进电机的A、B、C、D四相分别按顺序接到PC口的PC0~PC3上,本次实验过程中采用的是四相八拍的步进电机。将蜂鸣器接在PC口的PC4上,蜂鸣器的作用主要是提示我们洗衣机已经启动和洗衣结束。将继电器接入到PC口的PC5上,继电器在本次实验过程中的主要作用是模拟进水阀。 1.2.2软件部分 8255A是一个可编程芯片,我们可以通过程序对8255A芯片进行编程来实现本次课程设计所要求实现的所有功能。在本次实验中我们需要在程序中实现对电机的转动。为了能实现洗涤过程(此过程要求电机正转和反转),所以我们采用的是步进电机,实际应用中步进电机的类型有很多种,然而我们的实验箱上是四相的步进电机,在实验中我采用的是四相八拍的控制,当我们使其从A→AB→B →BC→C→CD→D→DA,这样可以实现电机的正转,当使他从DA→D→CD→C →BC→B→AB→A,这样就可以实现电机的反转,这个过程就是通过程序对PC 口的PC0~PC3进行设置的。排水阀我们是用继电器来模拟的,实验箱上的继电器是低电平工作,所以如果我们要用到继电器即要打开进水阀时,我们只要对PC口的PC5进行设置。在本实验中,我们要使用蜂鸣器来提示洗衣机工作和洗衣结束,这个过程也是通过程序来实现的,我们只要将PC4设置成低电平,蜂鸣器就开始工作了。

Verilog数字电子技术-洗衣机控制器

2010学年度第一学期 电子技术基础课程设计 ――智能洗衣机控制器 控制科学与工程系 自动化 1006 班 ******* ******** 院 系: 专 业: 班 级: 姓 名: 学 号: 指导教师:

课程设计名称 智能洗衣机控制器的设计 设计内容及要求 ①设计一个智能洗衣机控制器,能够实现洗衣,漂洗和脱水的功能。 ②要求能够使用按键模拟对洗衣机的控制,能够设置工作模式,为了便于观察,将洗衣机设定的工作模式(1~5)和整个过程所剩的工作时间用数码管显示出来(时间分辨率为 1 分钟),能够将洗衣 机当前所处的状态(注水,洗衣,排水,甩干)用发光管或者数码管显示出来。 模式1 】:洗衣模式--强力洗(洗衣30 分钟) 模式 2 】 :洗衣模式--普通洗(洗衣20 分钟) 模式3】:洗衣模式--轻柔洗(洗衣10 分钟) 模式 4 】 :漂洗模式 模式 5 】 :甩干模式 注:在以上 5 个模式中,每次注水 1 分钟,漂洗 5 分钟,排水 1 分钟,甩干1分钟,模式1?3的洗衣时间如上所示,具体的洗衣步骤如下: 【模式 1 ?3】:注水->洗衣->排水->甩干->注水->漂洗->排水->甩干

-> 注水-> 漂洗-> 排水-> 甩干。 【模式4】:注水-> 漂洗-> 排水-> 甩干-> 注水-> 漂洗-> 排水-> 甩 干。 【模式 5 】:甩干。【要求】:实现逻辑控制过程,可以选择性的加入注水口无水报警等人性化的状态提示,操作完毕使用蜂鸣器鸣叫两秒提示。 ③画出洗衣机控制器的状态机,写出状态编码方案。 ④用Verilog 语言对设计进行描述,设计一个测试方案,并能够下载到实验板上调试成功。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。并谈谈此次实验的收获、感想及建议 系统设计 1 系统框图与说明本次设计的重点在于智能控制器状态之间转换的条 件和关系, 并且在此基础上输出相应的显示

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

全自动洗衣机的PLC控制

课题二全自动洗衣机的PLC控制 一、概述 洗衣机的应用现在比较普遍。全自动洗衣机的实物示意图如图所示。 全自动洗衣机的洗衣桶(外桶)和脱水桶(内桶)是以同一中心安放的。外桶固定.作盛水用。内桶可以旋转.作脱水(甩水)用。内桶的四周有很多小孔.使内外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。进水时.通过电控系统使进水阀打开.经进水管将水注入到外桶。排水时.通过电控系统使排水阀打开.将水由外桶排出到机外。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现.此时脱水桶并不旋转。脱水时.通过电控系统将离合器合上.由洗涤电动机带动内桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 二、设计任务和要求 该全自动洗衣机的要求可以用流程图来表示。 PLC投入运行.系统处于初始状态.准备好启动。启动时开始进水.水满(即水位到达高水位)时停止进水并开始正转洗涤。正转洗涤15 s后暂停.暂停3 s后开始反转洗涤。反转洗涤15s 后暂停.暂停3 s后.若正、反洗涤未满3次.则返回从正转洗涤开始的动作;若正、反洗涤满3次时.则开始排水。排水水位若下降到低位时.开始脱水并继续排水。脱水10s即完成一次从进水到脱水的工作循环过程。若未完成3次大循环.则返回从进水开始的全部动作.进行下一次大循环;若完成了3次大循环.则进行洗完报警。报警10s结束全部过程.自动停机。’此外.还要求可以按排水按钮以实现手动排水;按停止按钮以实现搬运.停止进水、排水、脱水及报警。

三、设计方案提示 1.I/O地址 输入输出 :启动按钮:进水电磁阀 Xl:停止按钮:电动机正转接触器 :排水按钮:电动机反转接触器 :高水位开关:排水电磁阀 :低水位开关:脱水电磁阀 :报警蜂鸣器 2.方案提示 ①用基本指令、定时指令和计数指令组合起来设计该控制程序。 ②用步控指令实现该控制。

全自动洗衣机控制系统设计

全自动洗衣机控制系统 设计 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

东北大学秦皇岛分校自动化工程系自动控制系统课程设计 全自动洗衣机控制系统的设计 专业名称自动化 班级学号 学生姓名 指导教师 设计时间2011.6.27~2011.7.8 东北大学秦皇岛分校自动化工程系 《自动控制系统》课程设计任务书 专业:自动化班级: 姓名: 设计题目:全自动洗衣机控制系统的设计 一、设计实验条件 装有单片机仿真软件的电脑。 二、设计任务 全自动洗衣机控制系统的要求是能实现“正常运行”和“强制停止”两种控制方式。 1.正常运行 “正常运行”方式具体控制要求如下: (1)将水位通过水位选择开关设在合适的位置(高、中、低),按下“启动”按扭,开

始进水,达到设定的水位(高、中、低)后,停止进水; (2)进水停止 2s 后开始洗衣; (3)洗衣时,正转 20s,停 2s,然后反转 20s,停 2s; (4)如此循环共 5 次,总共 220s 后开始排水,排空后脱水 30s;(5)然后再进水,重复(1)~(4)步,如此循环共 3 次; (6)洗衣过程完成,报警 3s 并自动停机。 2.强制停止 “强制停止”方式具体控制要求如下: (1)若按下“停止”按扭,洗衣过程停止,即洗涤电机和脱水桶转、进水电磁阀和排水 电磁阀全部闭合; (2)可用手动排水开关和手动脱水开关进行手动排水和脱水。 三、设计说明书的内容 1、设计题目与设计任务(设计任务书) 2、前言(绪论)(设计的目的、意义等) 3、主体设计部分 4、参考文献 5、结束语 目录

EDA-洗衣机控制器设计

佛山职业技术学院 2013年07月1日

洗衣机控制器的设计 一、实训课题: 洗衣机控制器的设计 二、设计的内容及要求: 1.设计一个洗衣机控制器,要求为: 1)洗衣机控制器可以驱动洗衣机进行洗涤、漂洗或烘干; 2)洗衣机控制器可以设置洗衣机的工作时间,工作时间最短1分钟,最长1小时, 在工作过程中,工作时间以倒计时显示,若时间为0洗衣机停止工作; 3)洗衣机在待机状态时,洗衣机控制器可以设置洗衣机的工作方式和工作时间; 4)可以暂停或停止洗衣机工作; 5)利用三个数码管显示洗衣机待机时的设置时间和工作时的运行时间,利用一位 数码管显示洗衣机待机时所设置的工作方式运行时的工作方式; 6)利用三个LED分别表示驱动洗衣机进行洗涤、漂洗或烘干。 7)洗涤时,电机中速正转;漂洗时,电机慢速反转;烘干时,电机快速正转。 2.洗衣机控制器可以划分为状态机模块、计时器模块、设置模块和显示选择模块。在QuartusII中输入各个模块的代码,编译综合,仿真,完成各个模块的软件设计; 3.把各个模块组合起来,综合编译,仿真,完成整个控制器系统的软件设计; 4.选择电路方案锁定管脚,把程序下载到实验箱中,利用实验箱进行硬件实现; 5.以EPM240为核心,设计洗衣机控制器硬件电路原理图和PCB版图。 6.完成实训报告。实训报告包括: 1)设计的任务和要求; 2)模块的划分和系统总框图; 3)各个模块的实现,包括模块的作用,模块的输入与输出情况,模块状态图,模 块的代码以及注释,模块的波形图; 4)系统的实现,包括系统总原理图,系统的波形图; 5)管脚的锁定关系; 6)电路原理图和PCB版图; 7)实训总结。 三.设计思路: 1)状态切换→有限状态机 2)按定时时间及时→定时计数器 3)显示时间→数码管译码驱动器 4)接收设置时间→时间设置键盘扫描器 5)接收设置模式→模式设置键盘扫描器 6)切换显示运行时间和设置时间→二路选择器

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

基于PLC全自动洗衣机控制系统方案

本科生毕业设计(论文)题目基于PLC的全自动洗衣机控制系统

基于PLC的全自动洗衣机控制系统 摘要:随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。 根据全自动洗衣机的工作原理,利用可编程控制器PLC实现控制,说明了PLC控制的原理方法,特点及控制洗衣机的特色。PLC的优点是:可靠性高,耗电少,适应性强,运行速度快,寿命长等,为了进一步提高全自动洗衣机的功能和性能,避免传统控制的一些弊端,就提出了用PLC来控制全自动洗衣机这个课题。全自动洗衣机控制系统利用了西门子S7-200系列PLC的特点,对按鈕,电磁阀,开关等其他一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于每遍的洗涤,排水,脱水的时间由PLC计数器控制,所以只要改变计数器参数就可以改变时间。可以把上面设定的程序时间定下来,作为固定程序使用,也可以根据衣物的质地,数量及油污的程度来编程。该论文就怎样利用PLC来控制全自动洗衣机进行了调查,对其中软件设计、硬件设计等问题进行了分析和研究,实现了全自动洗衣机的正常运行和强制性停止功能。 关键词:PLC;自动;定时;控制

Entire automatic washer control system design Undergraduate:Qiu Yun qiao Supervisor:Yuan Liang Abstract:Along with the social economy development and the science and technology level enhancement, the family electric appliance entire automation becomes the inevitable development tendency. Entire automatic washer production enormous convenience people's life. The washer is the domestic electrical appliances industry does not only hit the profession which the price fights, passes through several year steady development, the domestically produced washer regardless of in quality or in function all with world leading horizontal synchronization. Looks over the washer market, the highly effective energy conservation, the province water, the province electricity, the environmental protection washer continuously occupy the dominant position in the market. How does this paper study controls the entire automatic washer using PLC, to question and so on software design, hardware design has carried on the analysis and the discussion, has realized the entire automatic washer normal operation and compulsory stops the function. Keywords: PLC; control; delay;entire automatic

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

简单洗衣机控制基于单片机

1.用单片机控制洗衣机 通信1411 于波 2.项目名称:项目九:洗衣机控制 3.项目内容及要求; 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。要求: 1.画出控制系统的框图,说明系统方案设计的思路、理由或依据; 2.选择、确定组成控制系统的各个单元,并阐述选择确定的原则或 依据; 3.画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; 4.如采用单片机控制,给出单片机程序的流程图和清单,说明程序 的工作原理。 5.制作实物电路,验证设计、制作是否正确。 4.题目分析及设计思路;(弄清楚要完成设计的技术要点、关键是什 么,有哪些需要注意的事项,可以采用哪些方法和手段,有哪些可用的方案或电路形式,等等。)

要完成本项目设计的技术要点是对洗衣机的整个运行流程深刻理解之后,再用程序将他表现出来,说以关键就在于程序的编写。需要注意的是运动执行器是用指示灯模拟,水位用开关模拟,还要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调所以大概就要用到6个发光二极管和8个复位按键,因此就要对整个流程控制的逻辑顺序有个很好的设计。对于程序的编写可以采用单片机或PLC (就目前所学而言),而对于这样一个简单的小程序就采用单片机即可。 5. 方案设计说明;(在充分调研、思考的基础上确定电路方案并说明 理由。画出电路的系统框图,说明系统框图的原理。) 一开始看到这个题目我就打算使用单片机来完成本设计,因为单片机使用方便,只需一个最小系统即可,在宿舍就可完成对程序的编写和修改,而PLC 价格昂贵且不能随时可用。就本项目采用单片机而言需要一个单片机最小系统,思维数码管及驱动,一组LED 和按键。 系统框图: 通过对八个按键的操作,可对水位设置,洗衣时间设置,开始洗衣,水位确定。四位数码管可显示水位的设置值,洗衣时间设置值,还有洗衣时间计时等。六个LED 有两个表示洗衣机波轮的正反转,两 MCU (AT89C51) 四位数码管 八 个 控 制 按 键 六个LED 指示灯

简易洗衣机控制器

I 简易洗衣机控制器 摘要: 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗衣机的操作更方便、更人性化,根据设计的要求主要采用单片机设计简易洗衣机的控制系统,控制电动机正反转的时间,在对洗衣总时间进行设定后,洗衣机能完成洗衣过程。它的CPU 采用51单片机,根据设计需要增加一些按键设定时间和数码管显示时间。在满足输入/输出接口及其他硬件的情况下,再进行软件设计。首先是了解其工作流程,绘制功能流程图。然后,制作硬件编写程序实现。本设计主要实现了对洗衣机的定时设置,及控制洗衣机暂停和开始,能显示计时时间,计时结束能发声报警。 关键词 洗衣机、控制、单片机 Abstract :In spite of the washing machine has made great development, but the demands of consumers. To make washing machine operation more convenient, more human, according to the requirement of the design is mainly design simple washing machine control system by single chip microcomputer, the control motor and reversing time, after set laundry total time for washing machine can finish the laundry process. Its CPU USES 51 single chip microcomputer, according to the design needs to add some buttons to set time and digital tube display time. In meet the under the condition of input/output interface, and other hardware, and software design. First of all is to understand its working process, flow chart drawing function. Then, make the hardware programming implementation. This design mainly realized the timing set of washing machine, washing machine and control pause and start, can show the timing time, end time can voice alarm. Key words :Washing machines, control, simple and easy

相关主题
文本预览
相关文档 最新文档