当前位置:文档之家› 数字电路期末复习(含答案)

数字电路期末复习(含答案)

数字电路期末复习(含答案)
数字电路期末复习(含答案)

数字集成电路

一、 填空题

1. “全1出0,有0出1”描述的逻辑关系是 与非逻辑 。

2. 101ABC =时,函数C B AB Y +=之值为Y = 1 。

3. 逻辑函数的表示方法有 真值表 、 逻辑表达式、 逻辑图 、 波形图 、卡诺图五种。

4. A B +=

,AB =

,A AB += A +B ,AB AB += A 。

5. 常用的集成组合逻辑电路有 编码器 、 译码器 、 数据选择器 等。

6. 编码器的功能是将输入信号转化为 二进制代码输出 。

7. 对于时序逻辑电路来说,某一时刻电路的输出不仅取决于当时的 输入状态 ,而且还取决于电路 原来的状态 。所以时序电路具有 记忆 性。

8. 计数器的主要用途是对脉冲进行 计数 ,也可以用作 分频 和 定时 等。 9. 用n 个触发器构成的二进制计数器计数容量最多可为 2n -1 。 10. 寄存器可分成 数码 寄存器和 移位 寄存器。

11. 寄存器主要用来暂时存放 数码或信息 ,是一种常用的时序逻辑部件。

12. 一个触发器可以构成 1 位二进制计数器,它有 2 种工作状态,若需要表示n 位二进制数,则需要 n 个触发器。

13. 在计数器中,当计数脉冲输入时,所有触发器同时翻转,即各触发器状态的改变是同时进行的,这种计数器称为 同步计数器 。

14. 施密特触发器具有 回差 现象,又称 滞回 特性。

15. 单稳态触发器最重要的参数为 脉冲宽度 ;多谐振荡器最重要参数为振荡周期 。 16. 常见的脉冲产生电路有 多谐振荡器 ,常见的脉冲整形电路有 单稳态触发器 、 施密特触发器 。

17. 施密特触发器有 两 个稳态,单稳态触发器有 一 个稳态,多谐振荡器有零 个稳态。

18. 单稳态触发器输出脉冲宽度由 定时元件参数 决定;而施密特触发器输出脉冲宽度由 输入信号 决定。

19. 施密特触发器的主要用途有波形变换、整形、脉冲幅度鉴别、构成多谐振荡器 等。

二、 选择题

1. Y ABC AC BC =++,当1A C ==时, D 。

A. Y B =

B. B Y =

C. 0=Y

D. 1=Y 2. 要组成六进制计数器,至少应有 A 个触发器。

A. 3个

B. 4个

C. 5个

D. 6个 3. 寄存器由 C 组成。

A. 门电路

B. 触发器

C. 触发器和具有控制作用的门电路 4. 多谐振荡器可产生 B 。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波 5. 石英晶体多谐振荡器的突出优点是 C 。

A.速度高

B.电路简单

C.振荡频率稳定

D.输出波形边沿陡峭 6. 单稳态触发器不能 D 。

A.定时

B.延时

C.整形

D.计数

7. 属于无稳态电路的是

A

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.基本RS触发器

8. 有两个触发电平的电路是 C 。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.简单电压比较器

三、判断题(正确的打√,错误的打×)

1. 广义地说,凡不连续的非正弦电压、电流都可以称为脉冲信号。(√)

2. 如果+=+

A B A C,则=

B C。(×)

3. 构成计数器电路的器件必须具有记忆功能。(√)

4. 寄存器存储输入二进制数码或信息时,是按寄存指令要求进行的。(√)

5. 8421码十进制加法计数器处于1001状态时,应准备向高位发进位信号。(√)

6. 驱动共阴极LED显示器的译码器输出为高电平有效;而驱动共阳极LED显示器的译码器输出为低电平有效。(√)

7. 二进制译码器和二-十进制译码器,对应于一个输入代码,只有唯一一个输出端输出有效电平。(√)

8. 计数器在计数过程中触发器翻转次序,把计数器分为同步计数器和异步计数器。(√)

9. 施密特触发器有两个稳态。(√)

10. 优先编码器在同一时刻允许输入多个请求编码信号,并对所有请求信号编码。(×)

11. 待编码信号数为m个,输出代码位数为n位,它们的关系一定要满足2n

m≥。(×)

12. 能将输入信号转变为二进制代码的电路称为译码器。(×)

13. 数据选择器是一个单输入、多输出的组合逻辑电路。(×)

四、综合题

1. 现有三个车间,每个车间各需10kW电力,这三个车间由两台发电机组供电,一台功率为10kW,另一台功率为20kW。三个车间经常不同时工作。试用与非门和异或门设计一个逻辑电路,能够根据各车间的工作情况,以最节约电能的方式自动完成配电任务。

解:

(1)

(2)

(3)

A B C Y

1

Y2

00000

00110

01010

01101

10010

10101

11001

11111

输入输出

2. 用集成译码器74LS138和4输入与非门实现表2所示真值表的逻辑功能。先写出逻辑表达式,再画出逻辑电路图。

(1)

(2)

3. 分别用8选1数据选择器和4选1数据选择器实现逻辑函数Y AC BC =+,画出逻辑图。

解:

(1) A B C

S 1S 2000000011001010

01101

10010101

01110

01111

11输出输入C Y 2 &

& Y 1 =1

=1 B

S 2 S 1 +5V

74LS138

Y 1 S 2A S 2B Y 2 Y 3 Y 5 Y 6 Y 0 Y 7

S 1 A 2 A 1 A 0 A B C

Y 4 5 A D 0 A 1 D 5 D 7

D 1 D 6 D 4 A 2

A 0

Y D 2 D 3 B C 0 1

1 15 14 13 12

10

11 2

3

4

9 7

74LS151 ST

(2)

4. 设计一个电路实现图3所示的逻辑功能。要求:(1)列出真值表,(2)写出函数表达式,(3)用4选1数据选择器实现电路。

解:

(1)

(2) (3)

5. 试分析图4所示电路的逻辑功能。

解:

(1) (2)

(3)

三人表决器电路

图4 电路分析题1用图

Y

A

B C &

& & & A B

Y 图3 组合逻辑电路设计题5用图 4选1 MUX

C

B A 0

D 1 A 0 D 0 D 2 D 3

A 1 E

Y

输出A B Y

000011

101

110

输入B A D 1 A 0 D 0 D 2 D 3

A 1 E

Y

4选1 MUX

0 1 1 0

输出

A B C Y 00000010010001111000101111011

1

1

1

输入

6. 试分析图5所示电路的逻辑功能。

解:

(1) (2)

(3)二变量同或电路

7. 二-十进制译码器74LS42按图7连接。请列出电路的真值表,说明电路的逻辑功能。

解: 由真值表可知,电路实现3线-8线 译码器的逻辑功能。

图5 电路分析题2用图 ≥1

≥1

≥1

Y

A B

1

1

输出A B Y 0010101001

1

1

输入

图7 电路分析题4用图

3A

1A 2A

0A 使能输入 地 址 码 输 入 闲置 5Y 1Y

2Y 3Y 4Y 6Y 0Y 7Y

74LS42 EN A 2A 1A 00000111111100110111111010110111110111110111110011110111101111110111101111110111111111110

000001禁010止011全部为 1

译100码

1011101

11

输出

输入1

使能端A 3

2

Y

1

Y

Y

3Y 6

Y

5Y 4

Y

7

Y

8. 3线-8线译码器74LS138构成的电路如图8所示,A B C 、、为输入变量。试写出输出函数Y 的最简与-或表达式,列出真值表,描述此电路的逻辑功能。 解: (1) (2)

(3)

判奇电路

9. 4位二进制计数器74LS161的逻辑符号如图7所示。请用74LS161组成五进制计数器。

(1)用异步清零法。 (2)用同步置数法。 解:

图8 电路分析题5用图

+5V

B C

A 5Y 1Y 2Y 3Y 4Y 6Y 0Y 7Y

1A 2A 0A 2B S 2A S 1S

74LS138

Y &

输出A B C

Y 00000011010101101001101011001

1

1

1

输入

图7 综合题7用图

异步清零法

1

1

同步置数法

1

1

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

东南大学数字电路实验报告

东南大学电工电子实验中心实验报告 数字逻辑设计实践 实验一数字逻辑电路实验基础 学院电气工程学院 指导老师团雷鸣 地点 104 姓名 学号 __________得分实验日期

1.实验目的 (1)认识数字集成电路,能识别各种类型的数字器件和封装; (2)学习查找器件资料,通过器件手册了解器件; (3)了解脉冲信号的模拟特性,了解示波器的各种参数及其对测量的影响,了解示波器探头的原理和参数,掌握脉冲信号的各项参数; (4)了解逻辑分析的基本原理,掌握虚拟逻辑分析的使用方法; (5)掌握实验箱的结构、功能,面包板的基本结构、掌握面包板连接电路的基本方法和要求; (6)掌握基本的数字电路的故障检查和排除方法。 2.必做实验 (1)复习仪器的使用,TTL信号参数及其测量方法 用示波器测量并记录频率为200KHz的TTL信号的上升沿时间、下降沿时间、脉冲宽度和高、低电平值。 接线图 理论仿真TTL图像 TTL实验数据表格

(2)节实验:电路安装调试与故障排除 要求:测出电路对应的真值表,并进行模拟故障排查,记录故障设置情况和排查过程。 接线图 真值表 F=1,G=1 序号S1B1S2B2L 100000110100001020110 103 110040 150110 006101107111001800 001190 思考题 ①能否用表格表示U8脚输出端可能出现1的全部情况 2

②存在一个使报警器信号灯持续接通的故障,它与输入的状态无关。那么,什么是最有可能的故障? 答:两个集成电路74HC00与74HC20未加工作电压VCC并接地,造成集成电路无法工作,L一直为低电平,Led发光。 ③下列故障的现象是什么样的? a.U8脚输出端的连线开路。1答:无论S2与B2输入什么信号,都视为U4 与U5输入0信号(副驾驶有人22且安全带未扣上),会造成报警。 b.U3脚的输出停留在逻辑0。1答:无论B1输入什么信号,都视为U13输 入0信号。(驾驶座安全带扣上)1 ④当汽车开始发动,乘客已坐好,而且他的座位安全带已扣上,报警灯亮,这结果仅与司机有关,列出可能的故障,并写出寻找故障的测试顺序。 可能情况:司机未系安全带

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电子技术模拟考试试题及答案

数字电子技术模拟考试试 题及答案 Last revision on 21 December 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 (15) 可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同

1、证明:B A B A A +=+(4分) 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1= ;CP0= 。 2)列出其驱动方程:(4分) J1= ;K1= ;J0= ;K0= 。 3)列出其输出方程:(1分) Z = 4)求次态方程:(4分) =+11n Q ;=+10n Q 5)作状态表及状态图(9分) Z

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

《数字电路》期末模拟考试试题及答案

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结____, 集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______逻 辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是___ ____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、_ 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A .B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A )D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=Ω,C=μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号 ……………线………………………

图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q = 1 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。

东南大学数字电路期末试卷

数字电路期末试卷一 一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 2.用单脉冲或秒脉冲验证实验结果;(由老师检查)(15分) 3.用示波器或者逻辑分析仪观察并记录时钟与个位的低两位信号(Q1、Q0)波形。(10分) 二、设计一个具有自启动功能的序列信号发生器1011 (共60分) 要求:1.设计出电路图,写出设计过程并将逻辑图画在答题纸上;(20分) 2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上。(由老师检查)(10分)

一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 评分标准:原理图完全正确15分;若其中低位或者高位单独正确给5分; 如果两个单独均正确但级联错误给10分;接地不画扣2分。 2.用单脉冲或秒脉冲验证实验结果.(由老师检查)(15分) 3.记录结果(10分) 评分标准::相位对齐6分(每个输出端信号3分),画满一个周期3分,方波边沿画出1分。 二、1. 评分标准:原理图正确20分,输入没有使能端扣3分,接地不画扣2分。2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 评分标准:实验操作,仪器使用5分,指示灯验证和自启动功能检查15分 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上.(由老师检查)(10分) 评分标准:波形观察记录,相位对齐6分,至少画满一个周期(3分),且画出边沿(1分)10分

数字电路试卷-答案

C. 000 D. 1 0 1 A. A+B B. A+C C. (A+B ) (A+C ) D. B+C 标准答案及评分标准 适用专业(班级): 是否可携带(填写计算器、词典等):计算器 学科部主任: 一 ?选择题(每小题2分,共20分) 1?一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【C 】 A. 4:6 B.1:10 C.4:10 D.2:4 2 ?若输入变量 A 、B 全为1时,输出F=1,则其输入与输出的关系是 【B 】 A.异或 B. 同或 C. 或非 D. 与或 3?在下列逻辑电路中,不是组合逻辑电路的是 【D 】 A.译码器 B. 加法器 C. 编码器 D. 寄存器 6 ?同步计数器和异步计数器比较,同步计数器的最显著优点是 A 工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7?—位8421BCD 码译码器的数据输入线与译码输出线的组合是 A. 4:6 B.1:10 C.4:10 D.2:4 8 ?组合逻辑电路通常由【 】组合而成。 A.触发器 B.门电路 C.计数器 D.锁存器 A. 1 11 B. 010 10.逻辑表达式 A+BC= 课程名称:数字电路 课程归属:理工学科部 开卷、闭卷:闭卷 出卷人: 4 . 一个8选一的数据选择器,其地址输入 (选择控制输入)端的个数是 A. 4 B. 2 C. 3 5?最小项ABCD 的逻辑相邻最小项是 D. 1 6 【A 】 A. ABCD B . ABCD C. ABCD D. ABCD 9.8线一3线优先编码器的输入为 I0 —17,当优先级别最高的 I7有效时,其输出 的值是 【C 】

数字电子技术试题(含答案)

系:_____________ 专业:_______________ 班级:_________ 准考证号: 姓名:_____________ 期 末 考 试 试 题 (卷) 密 封 线 密 封 线 以 内 不 准 作 任 何 标 记 密 封 线

8、要使JK 触发器处于计数状态,则必须使( ) A.J=K=1 B.J=K=0 C.J=0,K=1 D.J=1,K=0 9、下列触发器中没有计数功能的是( ) A.RS 触发器 B.T 触发器 C.JK 触发器 D.T ˊ触发器 10、组合电路中的冒险,偏“0”冒险Y = ( ) 二、填空题:(请在答题纸答题)(每空2分,共30分) 1、逻辑函数的表示方法有___________、___________、___________、___________、___________五种形式。 2、组合电路中的冒险,偏“1”冒险Y = ___________,偏“0”冒险Y = ___________。 3、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 4、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 5、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 6、计数器按CP 脉冲的输入方式可分为___________和___________。 三、化简题(请在答题纸答题)(每小题5分,共10分) 1、用公式证明等式,()()AB AB A B A B +=++ 2、用卡诺图化简函数为最简单的与或式(画图)。 (0,1,3,4,5,7,)Y m =∑ 四、根据已知条件,画出输出波形(请在答题纸答题)(每题10分,共20分) 1、已知逻辑门与输入波形,作出Y 的波形 Y A

东南大学-数字电路实验-第4章-时序逻辑电路

东南大学-数字电路实验-第4章-时序逻辑 电路 --------------------------------------------------------------------------作者: _____________

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并 记录时钟脉冲CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波 形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电路试卷及答案

数字电路试卷及答案(一) 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑( 1 )电平或者输入信号连接端上。 2、DAC的功能就是将( 数字)输入成正比地转换成模拟输出。 4 EPROM可存储一个( 9 )输入4输出的真值表。?3、512 4、74X163的RCO输出有效条件就是:仅当使能信号( ENT )有效,并且计数器的状态就是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101 )2、 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有( B )个。 A、 2 B、3 C、 4 D、 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A、表达式 B、逻辑图 C、真值表 D、波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A、状态数目更多 B、状态数目更少 C、触发器更多 D、触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为( D )。 A、 2 B、3 C、 4 D、5 5、下列各逻辑函数式相等,其中无静态冒险现象的就是( D )。 A、F=B’C’+AC+A’B B、F=A’C’+BC+AB’ C、F=A’C’+BC+AB’+A’B D、F=B’C’+AC+A’B+BC+AB’+A’C’ 三、组合电路分析: (共10分) B=BC 最简与之积表达式。(4分) 解:F+A'BC'+AB=1、求逻辑函数F (2)、已知逻辑函数F=W+XZ+XY, 请写出与该函数对应的最小项列表表达式: F=ΣWXYZ( ) (3分) F=ΣWXYZ( 5,6,7,8,9,10,11,12,13,14,15 ) 数字电路试卷及答案(二) 一、【单项选择题】(本大题共20小题,每小题2分,共40分)在每小题列出的四个选项中只有一个选项就是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为( A )。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X

相关主题
文本预览
相关文档 最新文档