当前位置:文档之家› fedora 11 的中文安装教程

fedora 11 的中文安装教程

fedora 11 的中文安装教程
fedora 11 的中文安装教程

1. Fedora 11 安装指南

这里我将描述安装 Fedora 11 的主要步骤。以下截图是使用 Fedora 11 DVD 安装光盘截取。注意:如果你使用 Fedora 11 Live CD ,安装界面有所不同。因此,请下载https://www.doczj.com/doc/e04745248.html, Fedora 11 DVD,刻录光盘并放入你的 DVD 光驱,重启电脑。需要注意的是:你必须在 BIOS 中将 CDROM 设置成首选启动设备。

选择第一个选项 "Install or upgrade an existing system" (安装或升级现有系统)

最好先检查一下安装媒介的完整性,以确保 Fedora DVD 安装光盘正确成功刻录。这需要几分钟时间,当然,这是必须的。

这是 Fedora 11 启动后的首个画面

选择安装过程中使用的语言

以及键盘布局

输入你的计算机名。这是你电脑在网络中的主机名。

选择同一时区中离你最近的城市,设置系统时钟。如果你的电脑中已经安装了Windows ,并且安装双系统,请确保 "System clock uses UTC" (系统时钟使用UTC 标准时间)没被选中

输入两次 Root 密码。Root 是系统管理员。因此,最好设置一个复杂的密码,而不能是 123456 。 :P

请注意下一步,格式化硬盘。如果你打算整盘安装 Fedora 11,选择 "Use entire drive" (使用整个驱动)。你也可以选择 "Create a custom layout"(创建自定义分区)。通常将 /home 独立出来是一个不错的主意,因为你的所有文件都保存在 /home 中。最普遍的情况是已经安装了 Windows ,安装 Fedora 双系统,如果你没有供 Fedora 使用的硬盘分区,或者没有其它硬盘来安装 Fedora ,你必须调整现有分区的大小以腾出更多的空闲磁盘分区。开始之前,你最好整你硬盘磁盘碎片。请点击我写的如何格式化硬盘并安装 Linux 了解更多。点击"Review and modify partitioning layout" (查看和编辑分区)以确保你不会将Fedora 安装到错误的分区上。

这是默认的分区表。 Fedora 使用 LVM 硬盘卷组。这不是你需要考虑的。

选择在 /dev/sda 安装启动加载器 Grub 。这是电脑中第一块硬盘的 MBR 主引导扇区。如果你已经安装了 Windows ,启动加载器中会现实所有操作系统列表,你可以选择默认的。当然,你可以随时更改默认的启动操作系统。

Fedora 11 的基本安装包括常用软件和互联网软件。你此时也可以选择 Software Development (软件开发)或者 Web Servers (网页服务器)软件安装包。如果你不知道这些是什么,请别选择它。你也可以点击 "Customize now" (自定义)选择哪些软件包被安装。如果你想一并安装 KDE ,请点击自定义。

这里是 Fedora 11 DVD 中所有的软件包,点击你需要的就可以了。

完成之后点击下一步按钮,安装过程开始。根据电脑的不同,安装所需时间不同。

安装结束,弹出 DVD 光盘,按重启键。

这是 Fedora 11 的欢迎界面。你只会在第一次启动 Fedora 的时候看到它。

Fedora 的许可证信息

创建一个新用户。这将是登入 Linux 的默认用户。

检查日期和时间是否正确

你可以发送你的硬件信息给 Fedora Project 以获得反馈。

这是 Fedora 的登入界面

这是 Fedora 11 的默认桌面环境 Gnome 。

Multisim 11.0详细的 安装+汉化+破解 全过程

Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项

4、然后选择“Install this product for evaluation”,试用的意思 5、接下来就按照提示一路狂Next就行,然后重启就行了

这样安装就算完成了,接下来就是汉化和破解了。 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误) 2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH(参考图片)

此时汉化任务已经完成 汉化说明:这是Multisim10.0的汉化,未完全汉化,但是已经够用了 三、破解 1、未破解时会出现如下窗口,试用30天后就不能用了

multisim12.0汉化版 附详细安装教程

multisim12.0汉化破解版附详细安装教程 软件名称:multisim12.0汉化破解版附详细安装教程 软件大小:562MB 软件语言:简体中文 软件介绍: multisim12是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。12.0是目前该软件的最高版本,现在已经成功破解,并且完全汉化,用户可放心使用,下面附带详细安装图文教程。软件包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力,再结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 凭借multisim12.0汉化破解版,用户可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI Lab ⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 multisim12的专业特色: 1、模拟和数字应用的系统级闭环仿真配合Multisim和LabVIEW能在设计过程中有效节省时间; 2、全新的数据库改进包括了新的机电模型,AC/DC电源转换器和用于设计功率应用的开关模式电源; 3、超过2,000个来自于亚诺德半导体,美国国家半导体,NXP和飞利浦等半导体厂商的全新数据库元件; 4、超过90个全新的引脚精确的连接器使得NI硬件的自定制附件设计更加容易。multisim12.0汉化破解安装方法: 1、下载解压,双击“NI_Circuit_Design_Suite_12.0.exe”安装原版; 2、弹出对话框,选择“确定”;

Multisim 11仿真软件安装步骤

Multisim 11仿真软件安装步骤: 1.双击运行NI_Circuit_Design_Suite_11_0.exe,确定后,选择Unzip按钮运行软件自解压; 2.自解压完成后在C盘生成National Instruments Downloads文件夹存放安装源文件,并且 自动打开如图1安装界面 图1 3.点击图1中第1个选项进行安装,启动安装过程,并进入如图2画面,需输入序列号; 图2 4.双击安装文件夹中multisim11_keygenfull.exe,打开如下界面

图3 5.点击Generate按钮生成安装序列号,并点击Copy按钮复制序列号,粘贴到图2中输入 序列号处,并点击Next继续; 6.其它安装选项一般不必修改,直接点击Next继续,至如图4所示界面,取消图中的对 勾选择,不提示更新; 图4 7.点击Next继续,并接受2个许可协议之后,则启动安装过程; 8.安装完毕,重新启动计算机; 9.双击安装文件夹中multisim11_keygenfull.exe,重新打开如图3所示界面,按步骤10-12 生成3个许可证文件; 10.选中图3中Multisim Power Pro Edition项,点击Create license file…按钮,生成一个 Multisim许可证文件,文件名可任意指定,记住所生成文件所在的目录;

11.选中图3中Ultiboard Power Pro Edition项,点击Create license file…按钮,生成一个 Ultiboard许可证文件,文件名同样可任意指定,但不能与上一步的文件名重复; 12.选中图3中Multisim MCU Module项,点击Create license file…按钮,生成一个MCU模 块许可证文件,再用一个不同的文件名保存; 13.在Windows开始菜单中选择:所有程序->National Instruments->NI License Manager,打 开如图5所示的许可证管理器 图5 14.在许可证管理器的菜单中选择:选项->安装许可证文件,在弹出的窗口中找到前面生成 的3个许可证文件并打开,则完成了许可证安装,安装后展开本地许可证菜单,安装了许可证的3个软件前面指示灯为绿色,如图6所示,则表明安装成功;

电路仿真软件Multisim_11.0安装使用教程及破解

Multisim 11.0 软件免费下载汉化激活全套 Multisim 11.0目前为最新版本。嵌入式系统 安装需要需要资料:17Embed,17嵌入式 1.Multisim11.0软件,免费下载地址: https://www.doczj.com/doc/e04745248.html,/c07n2rh7tb m 2. Multisim11.0汉化包+激活包免费下载地址: https://www.doczj.com/doc/e04745248.html,/c0frrgfutf Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 一起嵌入式开发

3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

5、接下来就按照提示一路狂Next就行,然后重启就行了嵌入式系统 这样安装就算完成了,接下来就是汉化和破解了。

嵌入式系统 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误)17Embed,17嵌入式2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH (参考图片)

multisim 11.0 软件免费下载,汉化,激活全套

multisim 11.0 软件免费下载,汉化,激活全 套 来源:作者:https://www.doczj.com/doc/e04745248.html,发表时间:2011-06-13 18:41围观( 353) 进入嵌入式学习论坛交流>> 最近一直在弄multisim软件,终于被我搞订,详细如下:嵌入式系统 需要资料:17Embed,17嵌入式 1.multisim软件,免费下载地址: ftp://https://www.doczj.com/doc/e04745248.html,/evaluation/EWB/NI_Circuit_Design_Suite_11_0.exe https://www.doczj.com/doc/e04745248.html, 2.汉化包,免费下载地址:https://www.doczj.com/doc/e04745248.html, 3.激活包,免费下载地址: 方法, 嵌入式论坛 Multisim 11.0详细的安装+汉化+破解全过程 (2010-07-01 14:48:30 嵌入式论坛 Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程

一起嵌入式开发 3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

Multisim11.0安装及破解

Multisim11.0安装及破解 Multisim有专业版、全功能版、教育版等诸多版本,但版本的区别只在你安装之后的注册,注册哪个 版本就是哪个版本了。 RT: 为了给大家说明一下我把所有版本都激活了,显示绿色的都是激活的。启动的时候也会显示激活的是哪个 版本,即正在打开的包括哪些版本。RT:显示的是教育版 和专业版。 需要说明的是通常下载的版本中没有教育版,教育版是单独的。我给大家介绍的是专业版的安装破解,方法都是一样的,我只不过给初次使用的朋友说一下减少了摸索过程。 NI Circuit Design Suite 11.0官方下载地址 11.0 教育版VeryCD下载:

ed2k://|file|%5B%E7%94%B5%E5%AD%90%E4%BB%BF%E7%9C%9F%E8%BD%AF%E4%BB%B6 %5D.NI_Circuit_Design_Suite_11_0_Education.exe|417245728|d862d01bee1cb293e5708931c3ed4d2 3|h=hyixjgq3b4wnbyxmzivx5hez24rnajqf|/ 11.0.1下载:ftp://https://www.doczj.com/doc/e04745248.html,/evaluation/EWB/NI_Circuit_Design_Suite_11_0_1.exe 11.0注册机及汉化文件 下载地址(Rayfile下载) 可以到电驴VeryCD上也能搜到Multisim11.0的各个版本。 Rayfile不支持迅雷,但只要稍微百度一下,很容易找到注册机的。 注册机使用及汉化方法仅供第一次使用者参考。 一、产生注册码及许可证文件 1、运行注册机,点击窗口中的Generate,出现serial number如: 2、“Copy” serial number:E79P64468,记录下serial number,比如粘贴在记事本中。 3、产生许可证文件:

multisim破解方法

multisim12是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。12.0是目前该软件的最高版本,现在已经成功破解,并且完全汉化,用户可放心使用,下面附带详细安装图文教程。软件包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力,再结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 凭借multisim12.0汉化破解版,用户可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 multisim12的专业特色:1、模拟和数字应用的系统级闭环仿真配合Multisim和LabVIEW 能在设计过程中有效节省时间; 2、全新的数据库改进包括了新的机电模型,AC/DC电源转换器和用于设计功率应用的开关模式电源; 3、超过2,000个来自于亚诺德半导体,美国国家半导体,NXP和飞利浦等半导体厂商的全新数据库元件; 4、超过90个全新的引脚精确的连接器使得NI硬件的自定制附件设计更加容易。multisim12.0汉化破解安装方法:1、下载解压,双击“NI_Circuit_Design_Suite_12.0.exe”安装原版; 2、弹出对话框,选择“确定”;

3、再选择“Browse...”按纽或直接输入“C:\multisim12”,点击“Unzip”将软件解压到此处; 4、完成后,软件将自动打开安装界面,选择“Install Ni Circuit design suite 12.0”开始安装; 5、随意填入用户名、组织名,再选择“install this product for evaluation”此按纽(注册码等软件安装成后输入),点击next下一步;

Multisim11激活步骤详解(个人亲写)

Multisim 11.0激活方法 1. 未激活时弹出以下对话框 2. 打开Multisim11.0注册机,默认单选Multisim Power Pro Edition,点击Create license file… 3. 选择路径为软件安装路径下的文件夹Licenses,取文件名MPPE(随便取),点击保存; 注意:此处路径选择非常重要,否则会报如下图的错误;

4.选择单选框Multisim Pro Full Edition,点击Create license file…,同样选择路径为软件安装路径下的文件夹Licenses,取文件名MPFE(随便取),点击保存; 5.同理,将注册机中其他单选框进行相同的处理,生产不同的.lic文件;根据个人使用软件情况,可以只生成部分功能的.lic 文件即可,也可以全部生成; 6. 生成所需的.lic文件后,关闭注册机; 7. 从开始菜单中选择NI License Manager,如下图 8. 弹出如下窗口

9.选中“Multisim 11.0”后,从选项菜单中点击“安装许可证文件” 10.从刚才保存.lic文件夹目录下,选择相应刚才生成的文件,点击“打开”; 11.在弹出的对话框中,选择“是”; 12.注意:将注册机界面中,前四个生成的lic文件,在选中“Multisim 11.0”后,安装许可证文件;13.注意:将注册机界面中,后二个生成的lic文件,在选择中“Ultiboard 11.0”后,安装许可证文件;

14.全部安装完成,关闭NI许可证管理器;15.启动软件,此时软件已经被激活,可放心使用。

multisim 11.0 下载,安装,激活,汉化全套

multisim 11.0 软件免费下载,安装,激活, 汉化全套 需要资料: 1.multisim软件, 2.汉化包,. 3.激活包, 免费下载地址(选一个即可): (1)ftp://https://www.doczj.com/doc/e04745248.html,/evaluation/EWB/NI_Circuit_Design_Suite_11_0.exe (2)ed2k://|file|%5B%E7%94%B5%E5%AD%90%E4%BB%BF%E7%9C%9F%E8 %BD%AF%E4%BB%B6%5D.NI_Circuit_Design_Suite_11_0.exe|397773344|b985 1090086b37105f3a8e8daf92b92e|h=aennl3x7q4323okyubrm7sdfihmfweqi|/ 方法:入式论坛 multisim 11.0 软件免费下载,安装,汉化,激活全套 Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的 模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 注意版本:power pro edition是电子职业版,具有的功能是最全的,适合电子工程师使用,而full edition除了没有前者的极少数的一般用户使用不到得功能之外,其他的都是有的,适合一般的用户使用,如果两者都激活了,打开的是power pro edition。 一、安装

相关主题
文本预览
相关文档 最新文档