当前位置:文档之家› 第2章 实验2 数据选择器

第2章 实验2 数据选择器

第2章  实验2  数据选择器
第2章  实验2  数据选择器

深圳大学实验报告课程名称:数字电路

实验项目名称:第2章实验3 数据选择器学院:信息工程

专业:

指导教师:

报告人:学号:班级:

实验时间:

实验报告提交时间:

教务处制

实验仪器:

1. RXB-1B 数字电路实验箱

2. 器件 74LS00 四2输入与非门 74LS153 双4选1数据选择器 实验集成元件:

4选1的逻辑表达式:100101102103Y A A D A A D A A D A A D =+++

实验任务:

任务一:双4选1数据选择器74LS153功能测试 将双4选1数据选择器74LS153插入实验系统的IC 空插座中。按图2.2.1接线,把数据输入端1D3、1D2、1D1、1D0、控制输入端A1、A0和使能端1ST 接至DLE-6型数字电路试验箱的任意7个逻辑电平开关,输出1Y 接至实验箱电平显示器的任意LED ,设计标2.2.1中的输入状态并将结果填入表2.2.1中

任务二:用74LS153来设计电机控制电路 某工厂有三个车间和一个自备电站,站内有两台发电机X 和Y 。Y 的发电能力是X 的两倍。如果一个车间开工,启动X 就能满足要求;如果两个车间开工,启动Y 就能满足;如果三个车间同时开工,则X 和Y 都应启动。设计控制发电机X 和Y 启动逻辑电路。

数据处理分析:

任务一:双4选1数据选择器74LS153功能测试

输入输出

使能端地址端数据端Y Y

1ST A1A0D3D2D1D0理论值实验值

表2.2.1

任务二:用74LS153来设计电机控制电路

这里用X表示发电机X,用Y表示发电机Y,A、B、C分别表示三个车间。

由题目得对应的真值表为:

输入输出

使能端选择端发电机X Y1发电机Y Y2

1ST 2ST A(A1) B(A0) C 理论

值实验

理论

实验

1 1 ×××××

0 1 ×××××

1 0 ×××××

0 0 0 0 0 0 D00 D0

0 0 0 0 1 1 0

0 0 0 1 0 1 D10 D1

0 0 0 1 1 0 1

0 0 1 0 0 1 D20 D2

0 0 1 0 1 0 1

0 0 1 1 0 0 D3 1 D3

0 0 1 1 1 1 1

由真值表得对应的逻辑表达式为:

X ABC ABC ABC ABC

=+++

Y ABC ABC ABC ABC

=+++

在这个电路中首先要对使能端的选择。这里用一个与非门(74LS00中的一个门)对使能端的选择。只有当1ST、2ST输入为高电平时,输出才为低电平(注:此时使能端为高电平有效,即1ST、2ST没有取非)。1ST为选通发电机X,2ST为选通发电机Y。用一个与非门对1ST、2ST做与非运算目的是为了不管在什么情况下,只要有车间开工,就要保证有足够的电能输送出去,即两台发电机都是选通的。这样就能确保车间正常工作。当发电机选通后,就能对车间开工的情况进行选择了。当A、B、C的值(即各位上对应的数字)相加为0时,发电机没有输出;当A、B、C的值相加为1时,只要发电机X工作就行了;当A、B、C的值相加为2时,只要发电机Y工作就行了;当A、B、C的值相加为3时,这时才要两台发电机同时工作。

设计图:实验结论:

指导教师批阅意见:

成绩评定:

指导教师签字:

年月日备注:

注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。

2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

电子线路基础数字电路实验4 数据选择器

实验四数据选择器 一、实验目的 1. 熟悉中规模集成数据选择器的逻辑功能及测试方法。 2. 学习用集成数据选择器进行逻辑设计。 二、实验原理 数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成较复杂的逻辑功能。它有若干个数据输入端D0、D1、…,若干个控制输入端A0、A1、…和一个输出端Y0。在控制输入端加上适当的信号,即可从多个输入数据源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序的逻辑部件。 中规模集成芯片74LS153为双四选一数据选择器,引脚排列如图7—1所示,其中D0,D1,D2,D3为四个数据输入端,Y为输出端,A1,A2为控制输入端(或称地址端)同时控制两个四选一数据选择器的工作,G为工作状态选择端(或称使能端)。74LS153的逻辑功能如表7—1所示,当1 =G G时电路不工作,此 1= 2 ) ( 时无论A1、A0处于什么状态,输出Y总为零,即禁止所有数据输出,当( =G G时,电路正常工作,被选择的数据送到输出端,如A1A0=01,则选1= ) 2 中数据D1输出。 图7—1 图7—2 表7—1

当G =0时,74LS153的逻辑表达式为 中规模集成芯片74LS151为八选一数据选择器,引脚排列如图7—2所示。其中D 0—D 7为数据输入端,)(Y Y 为输出端,A 2、A 1、A 0为地址端,74LS151的逻辑功能如表7—2所示。逻辑表达式为 数据选择器是一种通用性很强的中规模集成电路,除了能传递数据外,还可用它设计成数码比较器,变并行码为串行及组成函数发生器。本实验内容为用数据选择器设计函数发生器。 用数据选择器可以产生任意组合的逻辑函数,因而用数据选择器构成函数发生器方法简便,线路简单。对于任何给定的三输入变量逻辑函数均可用四选一数据选择器来实现,同时对于四输入变量逻辑函数可以用八选一数据选择器来实现。应当指出,数据选择器实现逻辑函数时,要求逻辑函数式变换成最小项表达式,因此,对函数化简是没有意义的。 表7—2 例:用八选一数据选择器实现逻辑函数 CA BC AB F +== D A A D A A D A A D A A Y 3 1 2 1 1 1 1 +++= D A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y 7 2 6 1 2 5 1 2 4 1 2 3 1 2 2 1 2 1 1 2 1 2 +++ ++++=

实验设计与数据处理

《实验设计与数据处理》大作业 班级:环境17研 姓名: 学号: 1、 用Excel (或Origin )做出下表数据带数据点的折线散点图 余浊(N T U ) 加量药(mL) 总氮T N (m g /L ) 加量药(mL ) 图1 加药量与剩余浊度变化关系图 图2 加药量与总氮TN 变化关系图 总磷T P (m g /L ) 加量药(mL) C O D C r (m g /L ) 加量药(mL) 图3 加药量与总磷TN 变化关系图 图4 加药量与COD Cr 变化关系图 去除率(%) 加药量(mL)

图5 加药量与各指标去除率变化关系图

2、对离心泵性能进行测试的实验中,得到流量Q v 、压头H 和效率η的数据如表所示,绘制离心泵特性曲线。将扬程曲线和效率曲线均拟合成多项式(要求作双Y 轴图)。 η H (m ) Q v (m 3 /h) 图6 离心泵特性曲线 扬程曲线方程为:H=效率曲线方程为:η=+、列出一元线性回归方程,求出相关系数,并绘制出工作曲线图。 (1) 表1 相关系数的计算 Y 吸光度(A ) X X-3B 浓度(mg/L ) i x x - i y y - l xy l xx l yy R 10 -30 2800 20 -20 30 -10 40 ()() i i x x y y l R --= = ∑

50 10 60 20 70 30 平均值 40 吸光度 X-3B浓度(mg/L) 图7 水中染料活性艳红(X-3B )工作曲线 一元线性回归方程为:y=+ 相关系数为:R 2= (2) 代入数据可知: 样品一:x=样品二:x=、试找出某伴生金属c 与含量距离x 之间的关系(要求有分析过程、计算表格以及回归图形)。 表2 某伴生金属c 与含量距离x 之间的关系分析计算表 序号 x c lgx 1/x 1/c 1 2 2 3 3 4 4 5 5 7 6 8 7 10 1

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

实验二4选1数据选择器的设计

实验二 4选1数据选择器的设计 实验学时:2学时 实验类型:设计 实验要求:必做 一、实验目的 通过实验让学生掌握组合逻辑电路的EDA原理图输入设计法,通过电路的仿真和硬件验证,让学生进一步了解4选1数据选择器的功能。 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关。数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。数据选择器的电路结构一般由于活门阵列而成,也有用传输门开关和门电路混合而成的。 图1 4选1数据选择器原理图 图1是一个4选1数据选择器,d3—d0是数据输入端,s1和s0是控制输入端,y是4选1数据输出端。 三、实验内容 设计并实现一个4选1数据选择器,要求根据原理图写出它的逻辑关系,并利用开发工具软件对其进行编译和仿真,最后通过实验开发系统对其进行硬件验证。

四、实验步骤 1)在Maxplus2的图形编辑方式下,从prim元件库中调出4选1数据选择器电路所需要的元件。并按照图1所示的原理电路,完成4选1数据选择器原理图的输入设计。 2)保存好原理图文件,以为文件名保存在工程目录中。执行Compiler命令对设计文件进行编译。执行Create Default Symbol命令,可为4选1数据选择器生成一个元件符号。 3)在波形编辑方式下,编辑的波形文件,并完成输入信号d3,d2,d1和d0,控制信号s1和s0电平的设置。波形文件编辑结束后以为波形文件名存盘。执行仿真器Simulator命令,仿真开始,观察仿真波形进行设计电路的功能验证。 五、实验结果 1. 4选1数据选择器的逻辑功能及真值表 2.仿真波形

实验四 数据选择器及应用

实验四数据选择器及应用 一、实验目的 (1)掌握采用中规模集成器件设计组合逻辑电路的方法。 (2)掌握数据选择器的工作原理。 (3)测定数据选择器的逻辑功能。 (4)设计并验证用数据选择器实现逻辑函数。 二、预习要求 (1)掌握数据选择器的工作原理。 (2)掌握用数据选择器实现逻辑函数的设计原则。 (3)片选端E'起什么作用?E'为何值时,选择器正常工作。 (4)如何用卡诺图分离出多余的变量? 三、实验器材 (1)实验仪器:数字电路实验箱、万用表; (2)实验器件:74LS00、74LS32、74LS153、74LS151; 四、实验原理 以前所讨论的组合电路设计方法常称“四步法”,即列真值表,写出逻辑函数,简化逻辑函数和画逻辑图。一般只在使用小规模集成器件时使用。在中、大规模集成电路出现之后,逻辑设计方法有很大的改变。即可用中规模集成器件设计组合逻辑网络。 1. 数据选择器的工作原理 在数字信息的传输过程中,有时按要求从多路并行传送的数据中选通一路送到唯一的输出线上,形成总线传输。这时要用到数据选择器(多路转换器,可简称为MUX),逻辑符号如图4-1(a)所示。其功能类似于单刀多掷开关,如图4-1(b)所示。 由图4-1(a)看出,数据选择器有n条地址线,2n个输入线,一条输出线。其功能是根据地址线编码从2n个输入信号中选用一个信号输出。即可以把它看成二进制编码的可控开关,由编码控制选通信息,如图4-1(b)所示。

(a )数据选择逻辑符号 (b )单刀多掷开关 图4-1 数据选择器 图4-2是4选1数据选择器。图中1A 、0A 是地址变量,由地址代码来选择 数据通道;0123D D D D 是输入信号;F 是输出信号;E '是使能端或片选端,低电平有效。当E '为低电平时,数据选择器正常工作;E '为高电平时,数据选择器禁止工作。数据选择器的功能如表4-1所示。 (a )电路 (b )逻辑符号 图4-2 4选1数据选择器 表4-1 4选1 MUX 功能表

试验设计与数据处理

试验设计与数据处理方法总述及总结 王亚丽 (数学与信息科学学院 08统计1班 081120132) 摘要:实验设计与数据处理是一门非常有用的学科,是研究如何经济合理安排 试验可以解决社会中存在的生产问题等,对现实生产有很重要的指导意义。因此本文根据试验设计与数据处理进行了总述与总结,以期达到学习、理解、掌握的以及灵活运用的目的。 1 试验设计与数据处理基本知识总述 1.1试验设计与数据处理的基本思想 试验设计与数据处理是数理统计学中的一个重要分支。它是以概率论、数理统计及线性代数为理论基础,结合一定的专业知识和实践经验,研究如何经济、合理地安排实验方案以及系统、科学地分析处理试验结果的一项科学技术,从而解决了长期以来在试验领域中,传统的试验方法对于多因素试验往往只能被动地处理试验数据,而对试验方案的设计及试验过程的控制显得无能为力这一问题。 1.2试验设计与数据处理的作用 (1)有助于研究者掌握试验因素对试验考察指标影响的规律性,即各因素的水平改变时指标的变化情况。 (2)有助于分清试验因素对试验考察指标影响的大小顺序,找出主要因素。(3)有助于反映试验因素之间的相互影响情况,即因素间是否存在交互作用。(4)能正确估计和有效控制试验误差,提高试验的精度。 (5)能较为迅速地优选出最佳工艺条件(或称最优方案),并能预估或控制一定条件下的试验指标值及其波动范围。 (6)根据试验因素对试验考察指标影响规律的分析,可以深入揭示事物内在规律,明确进一步试验研究的方向。

1.3试验设计与数据处理应遵循的原则 (1)重复原则:重可复试验是减少和估计随机误差的的基本手段。 (2)随机化原则:随机化原则可有效排除非试验因素的干扰,从而可正确、无偏地估计试验误差,并可保证试验数据的独立性和随机性。 (3)局部控制原则:局部控制是指在试验时采取一定的技术措施方法减少非试验因素对试验结果的影响。用图形表示如下: 2试验设计与数据处理方法总述和总结 2.1方差分析 (1)概念:方差分析是用来检验两个或两个以上样本的平均值差异的显著程度。并由此判断样本究竟是否抽自具有同一均值的总体。 (2)优点:方差分析对于比较不同生产工艺或设备条件下产量、质量的差异,分析不同计划方案效果的好坏和比较不同地区、不同人员有关的数量指标差异是否显著时,是非常有用的。 (3)缺点:对所检验的假设会发生错判的情况,比如第一类错误或第二类错误的发生。 (4)基本原理:方差分析的基本思路是一方面确定因素的不同水平下均值之间的方差,把它作为对由所有试验数据所组成的全部总体的方差的第一个估计值;另一方面再考虑在同一水平下不同试验数据对于这一水平的均值的方差,由此计算出对由所有试验数据所组成的全部数据的总体方差的第 二个估计值。比较上述两个估计值,如果这两个方差的估计值比较接近就说明因素的不同水平下的均值间的差异并不大,就接受零假设;否则,说明因素的不同水平下的均值间的差异比较大。

实验三_数据选择器

深圳大学实验报告 课程名称数字电路与逻辑设计 实验名称数据选择器 学院信息工程学院 专业 指导教师周小安 报告人李城权学号 2015130156 实验时间 2016-10-26 提交时间 2016-11-9 教务处制

一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3.学会对所设计的电路进行静态功能测试的方法; 4.观察组合逻辑电路的竞争冒险现象。 二、实验内容与方法 数据选择器是常用的组合逻辑电路之一。它有若干个数据输入端,若干个数据控制端和一个输出端。在控制输入端加上适当的信号,即可从多个数据输入源中将所需要的数据信号选择出来,送到输出端。使用时也可以在控制输入端加上一组二进制编码器程序的信号,使电路按要求输出一串信号,所以它也是一钟可编程序的逻辑部件,也可以用来构造逻辑函数发生器。 如74LS153的逻辑表达式为 Y=A A D+A A D+A A D+A A D 1010 00112103 所以任意给定的三输入变量的逻辑函数军可用4选1数据选择器来实现。 用数据选择器实现单输出函数的方法主要有比较法和图表法。 比较法设计步骤如下: (1)选择接到数据选择端的函数变量。 (2)写出数据选择器输出的逻辑表达式。 (3)将要实现的逻辑函数转换为标准与或表达式。 (4)对照数据选择器输出表达式和待实现函数的表达式,确定数据输入端的值。 (5)连接电路。 图表法设计步骤如下: (1)选择接到数据选择端的函数变量。 (2)画出逻辑函数和数据选择器的真值表。 (3)确定各个数据输入端的值。 (4)连接电路。 三、实验步骤与过程 实验仪器: (1)RXB-1B数字电路实验箱; (2)集成电路74LS00(四2输入与非门)1片、74LS153(双4选1数据选择器)1片。

:数据选择器设计(实验报告)

实验报告 一、实验目的 熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 二、实验设备 GW48系列SOPC/EDA实验开发系统实验箱一台计算机一台 三、实验内容 1首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。 源程序为: ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = '0' THEN y <= a ; ELSE y <= b ; END IF; END PROCESS; END ARCHITECTURE one ;

图(1 ) 2选1多路选择器的编译图 、图(2) 功能仿真的波形图 图(3 ) 功能引脚图

图(4) 2选1多路选择器的RTL电路 2. 将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图,并将此文件放在同一目录中。并对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以下是程序: 图(5) 双二选一多路选择器的编译图

图(6) 双路数据选择器功能仿真图 图(7) 双二选一多路选择器的引脚锁定图图 5 双2选1多路选择器 图(8) 双路数据选择器RTL电路图

图(9) 编程下载图 3.、引脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5,用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2 和a1 分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5 接1024Hz,clock2 接8Hz 信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。 图(10) 实验电路模式5

实验四 数据选择器及其应用

学生实验报告 系别电子工程学院课程名称数字电子技术实验 班级11通信1班实验名称数据选择器及其应用 姓名钟伟纯实验时间2012年11月15日 学号201141302114 指导教师张宗念 报告内容 一、实验目的和任务 1、掌握数据选择器的逻辑功能和使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 二、实验原理介绍 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1、八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择D0~D7这8个数据源,具有两个互补输出端,同相输出端Y和反相输出端WN。其引脚图如下图11-2所示,功能表如下表11-1所示,功能表中‘H’表示逻辑高电平;‘L’表示逻辑低电平;‘×’表示逻辑高电平或低电平:

图11-2 74LS151的引脚图表表11-1 74LS151的功能表 2、双四选一数据选择器74LS153 74LS153数据选择器有两个完全独立的4选1数据选择器,每个数据选择器有4个数 据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一个输出端Z,它们的功能表如表11-2,引脚逻辑图如图11-3所示。其中,EA、EB使能控制端(1、15脚)分别为 A路和B路的选通信号,I0~I3为四个数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0、S1为地址信号,8脚为GND,16脚为V CC。 3、用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。

试验设计与数据处理课程论文

课 程 论 文 课程名称试验设计与数据处理 专业2012级网络工程 学生姓名孙贵凡 学号201210420136 指导教师潘声旺职称副教授

成绩 科学研究与数据处理 学院信息科学与技术学院专业网络工程姓名孙贵凡学号:201210420136 摘要:《实验设计与数据处理》这门课程列举典型实例介绍了一些常用的实验设计及实验数据处理方法在科学研究和工业生产中的实际应用,重点介绍了多因素优化实验设计——正交设计、回归分析方法以对目标函数进行模型化处理。其适于工艺、工程类本科生使用,尤其适用于化学化工、矿物加工、医学和环境学等学科的本科生使用。其对行实验设计可提供很大的帮助,也可供广大分析化学工作者应用。关键字:优化实验设计; 标函数进行模型化处理; 正交设计; 回归分析方法 1 引言 实验是一切自然科学的基础,科学界中大多数公式定理是由试验反复验证而推导出来的。只有经得起试验验证的定理规律才具有普遍实用性。而科学的试验设计是利用自己已有的专业学科知识,以大量的实践经验为基础而得出的既能减少试验次数,又能缩短试验周期,从而迅速找到优化方案的一种科学计算方法,就必然涉及到数据处理,也只有对试验得出的数据做出科学合理的选择,才能使实验结果更具说服力。实验设计与数据处理在水处理中发挥着不可估量的作用,通过科学合理的实验设计过程加上严谨规范的数据处理方法,可以使水处理原理,内在规律性被很好的发现,从而更好的应用于生产实践。 2 材料与方法 2.1 供试材料 1. 论文所围绕的目标和假设 研究的目标就是实验的目的,我们设计了这个实验是想来做什么以及想得到什么样的结论。要正确的识别问题和陈述问题,这些需要专业知识和大量的阅读文献综述等方法来获得我们所要提出的问题。需要对某一个具体的问题,并且对这个具体的问题提出假设。如水处理中混凝剂的最佳投加量,混凝剂的最佳投加量有一个适宜的PH值范围。

实验三选数据选择器实验报告

实验三选数据选择器实 验报告 集团公司文件内部编码:(TTT-UUTT-MMYB-URTTY-ITTLTY-

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8”的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在 随后弹出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在 What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对 话框中选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save

实验五数据选择器及应用

实验五 数据选择器及应用 [实验目的] 1、掌握数据选择器的工作原理及逻辑功能。 2、熟悉74LS153和74LS151的管脚排列和测试方法。 3、学习用数据选择器构成组合逻辑电路的方法。 [实验仪器及元器件] THD-1型数字电路实验箱,数字万用表,双踪示波器,集成电路(74LS00 四-2输入与非门、4LS32四-2输入或门、4LS86四-2输入异或门、 74LS153双四选一数据选择器、74LS151 八选一数据选择器),信号线(电缆),各种导线。 [实验任务] 1、用双四选一数据选择器74LS153实现一位全减器。 2、用双四选一数据选择器74LS153设计一个四位奇偶校验器。 3、用八选一数据选择器74LS151设计一个多数表决电路。 4、用Multisim8进行仿真,并在实验仪器上实现。 [实验原理] 数据选择器又称多路转换器或多路开关,其功能是在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其 送到一个公共输出端。数据选择器的功能类似一个多掷开关,如图4-23所示,图中有四路数据D 0 ~ D 3通过选择控制信号A 1、A 0(地址码)从四路数据中选中某一路数据送至输出端Y 。 一个n 个地址端的数据选择器,具有2n 个数据选择功能。例如:数据选择器(74LS153),n = 2,可 完成四选一的功能;数据选择器(74LS151),n = 3,可 完成八选一的功能。 1、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上 有两个4选1 数据选择器。集成芯片引脚排列如图 A 1 A 0 地址码 D 0 D D D 数 据输 入 Y 输 出 图4-23 四选一数据选择器 4-24 74LS153引脚排列

实验3 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷 开关,如图3-1所示,图中有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地址码)从四路 数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图3-2,功能如表3-1。 选择控制端(地址端)为A 2~A ,按二进制译码,从8个输入数据D ~D 7 中,选择一个 需要的数据送到输出端Q,S为使能端,低电平有效。 图3-1 4选1数据选择器示意图图 3-2 74LS151引脚排列表3-1

使能端S=1时,不论A 2~A 状态如何,均无输出(Q=0,Q=1),多 路开关被禁止。 1)使能端S=0时,多路开关正常工作,根据地址码A 2、A 1 、A 的状态选 择D 0~D 7 中某一个通道的数据输送到输出端Q。 如:A 2 A 1 A =000,则选择D 数据到输出端,即Q=D 。 如:A 2 A 1 A =001,则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 2、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图3-3,功能如表3-2。 图3-3 74LS153引脚功能 S1、S2为两个独立的使能端;A 1、A 为公用的地址输入端;1D ~1D 3 和2D ~2D 3 分别为 两个4选1数据选择器的数据输入端;Q 1、Q 2 为两个输出端。 1)当使能端S1(2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态,将相应 的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。

数据选择器实验报告

实验三数据选择器 实验人员:班号:学号: 一、实验目的 (1) 熟悉并掌握数据选择器的功能。 (2) 用双4选1数据选择器74LS153设计出一个16选1的数据选择器。 (3) 用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153。 三、实验内容 (1) 测试双4选1数据选择器74LS153的逻辑功能。 74LS153含有两个4选1数据选择器,其中和为芯片的公共地址输入端,和分别为芯片的公共电源端和接地端。Figure1为其管脚图: Figure 1 按下图连接电路:

Figure 2 (2) 设某一导弹发射控制机构有两名司令员A 、B 和两名操作员C 、D ,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F 。利用所给的实验仪器设计出一个符合上述要求的16选1数据选择器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端的地址之中的一 个,进而实现选择该数据输入端中的数据的功能,即16选1。而公共的、两个地址输入端和使能端(用于片选,已达到分片工作的目的,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维的方法,将一个地址输入隐藏到一个数据输入端中。本实验可以降一维,也可以降两位。由于两位比较复杂,本实验选择使用降一维的方式。 做法: 画出如应用题中实现所需功能的卡诺图: 00 01 11 10 00 AB CD

01 0 0 1 0 11 0 0 1 0 10 0 1 将D 降到数据输入端中。对应的卡诺图如下: 00 01 11 10 0 1 0 0 D 0 0 1 按上述卡诺图连接电路,用开关控制送给各输入高低电平。其中,“1”表示高电平,“0”表低电平,均由开关上下拨动来控制;A 、B 、C 、D 分别为题中的两个司令员的同意情况和两个操作员的操作情况;F 为导弹发射情况,将F 接到小灯上即可。电路如Figure 3所示(图中即,后面的图均为如此): Figure 3 (3) 用74LS00与74LS153设计一位全加器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 C AB

实验设计与数据处理课后答案

《试验设计与数据处理》 专业:机械工程班级:机械11级专硕学号:S110805035 姓名:赵龙 第三章:统计推断 3-13 解:取假设H0:u1-u2≤0和假设H1:u1-u2>0用sas分析结果如下:Sample Statistics Group N Mean Std. Dev. Std. Error ---------------------------------------------------- x 8 0.231875 0.0146 0.0051 y 10 0.2097 0.0097 0.0031 Hypothesis Test Null hypothesis: Mean 1 - Mean 2 = 0 Alternative: Mean 1 - Mean 2 ^= 0 If Variances Are t statistic Df Pr > t ---------------------------------------------------- Equal 3.878 16 0.0013 Not Equal 3.704 11.67 0.0032 由此可见p值远小于0.05,可认为拒绝原假设,即认为2个作家所写的小品文中由3个字母组成的词的比例均值差异显著。 3-14 解:用sas分析如下: Hypothesis Test Null hypothesis: Variance 1 / Variance 2 = 1 Alternative: Variance 1 / Variance 2 ^= 1 - Degrees of Freedom - F Numer. Denom. Pr > F ---------------------------------------------- 2.27 7 9 0.2501 由p值为0.2501>0.05(显著性水平),所以接受原假设,两方差无显著差异 第四章:方差分析和协方差分析 4-1 解: Sas分析结果如下: Dependent Variable: y Sum of Source DF Squares Mean Square F Value Pr > F

数电 实验四 数据选择器及其应用 实验报告

实验四数据选择器及其应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及使用方法 2.学习用数据选择器构成组合逻辑电路的方法 二、实验设备与器件 1.+5V直流电源 2.逻辑电平开关 3.逻辑电平显示器 4.74LS151 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图7-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输们开关和门电路混合而成的。 八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制姨妈,从8个输入数据D0~D7中,选择一个需要的数据送到输出端A, S为使能端,低电平有效。

1)使能端?S=1时,无论A2~A0状态如何,均无输出(Q=0,?Q=1),多路开关被禁止。2)使能端S=0时,多路开关正常工作。根据地址码A1、A2、A3的状态选择D0~D7中某一个通道的数据输送到输出端Q。 此处以A2A1A0=010为例,则选择D2数据到输出端,即Q=D2。 D2为0,?Q亮。D2为1,Q亮。 使能端为1,D2为1,?Q亮。使能端为1,D2变为0,?Q仍然亮。

74LS151功能测试结果表4-1 实现逻辑函数F(AB)=A?B+?AB+A B 设计过程:逻辑表

实验二数据选择器

实验二数据选择器功能测试及设计应用 实验目的 1、掌握中规模集成数据选择器的逻辑功能及测试方法。 2、掌握数据选择器的使用方法。 一、实验仪器及器材 稳压电源、实验箱一个、双四选数据选择器74LS153、八选一数据选择器74LS151。 二、实验原理 1、4选1数据选择器 中规模集成电路74LS153为双四选数据选择器,其逻辑符号如图2-2-1所示,其中,1S、S分别为两个数据选择器的选通输入端,低电平有效。A0,、A1为公告控制输入端地址端,2 1D0、1D1、1D2、1D3与2D0、2D1、2D2、2D3分别为两个数据选择器的数据输入端,其功能表如表2-2-1所示。 有功能表得逻辑表达式: () =+++ Q A A D A A D A A D A A D S 110101011101210131 () =+++ 210201021102210232 Q A A D A A D A A D A A D S 2、8选1数据选择器74LS151 74LS151是常用的8选1数据选择器,用于各种数字电路和单片机系统的显示电路中。其功能如表2-2-2所示。 表2-2-2 74LS151的功能表

其中,S 为数据选择器的选通端,低电平有效。A0、A1、A2为地址码,D0~D7为数据输入端。 3、数据选择器的应用 (1)多路信号共用一个通道(总线)传输。 (2)变并行码为串行码。 (3)转换4位二进制码为补码。 (4)组成数码比较电路。 (5)实现逻辑函数。 三、实验内容 1,利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和逻辑功能表一致。 1、根据题目可画出电路图为:

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

《实验设计与数据处理》教学大纲

《实验设计与数据处理》教学大纲 (Experiment Design and Data Analysis) 一、基本信息 课程代码: 学分:2 总课时:32 课程性质:硕士专业必修课 适用专业:环境工程 先修课程:高等数学、概率论、线性代数 二、本课程教学目的和任务 本课程是环境工程硕士生的专业课。数据分析作为一种研究手段,主要是通过从系统设计、参数设计和允许误差设计入手,运用一定的物质手段,在人为控制或模拟自然现象的条件下,使环境过程以纯粹的、典型的形式表现出来,以便进行观察、研究、探索环境本质及其规律,使试验设计建立在统计理论基础之上,试验设计与数据处理相并重。 三、大纲的教学体系 以课堂教学和上机操作为主,采用多媒体教学,辅以课堂讨论、专题讲解等内容。主要开展环境试验的优化设计、环境数据的展示分析、环境数据的比较分析、环境数据的关系分析、环境数据的类别分析、环境数据的序列分析、环境数据的序列分析、正交试验的数据分析、回归分析、数据分析软件学习等内容。 四、教学内容及要求 第一章环境实验设计与数据处理概论 要求掌握(1)环境试验研究的目的与任务;(2)环境试验研究的类型;(3)环境试验研究的程序 重点内容:准确理解环境试验研究类型的区分;理解环境试验研究的设计步骤,以及试验设计的基本要求。 难点内容:理解环境试验因子、水平、处理、重复、响应指标等要素,了解准确度、精密度等概念。 第二章环境试验的优化设计 要求掌握(1)非均分设计;(2)黄金分割设计;(3)纵横对折设计;(4)平行线设计;(5)环境试验的正交设计;(6)环境试验点均匀设计;熟悉单因子、双因子优选设计的基本方法,熟悉正交表的定义和类型;了解均匀设计与正交设计的区别。 重点内容:正交试验的设计步骤,常见的正交设计运用方法,均匀设计的步骤 难点内容:了解分数法设计;旋升设计;逐步提高设计;陡度法设计;单纯形法设计等。 第三章环境数据的展示分析

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

相关主题
文本预览
相关文档 最新文档