当前位置:文档之家› 第三章 微纳制造技术_光刻

第三章 微纳制造技术_光刻

第三章 微纳制造技术_光刻
第三章 微纳制造技术_光刻

单项工艺: 光刻

1. Introduction

Photolithography

? Photo-litho-graphy: latin : light-stone-writing

? Photolithography is an optical means for transferring patterns onto a substrate. It is essentially the same process that is used in lithographic printing.

? Patterns are first transferred to an imagable photoresist layer. ? Photoresist is a liquid film that can be spread out onto a

substrate, exposed with a desired pattern, and developed into a selectively placed layer for subsequent processing.

? Photolithography is a binary pattern transfer: there is no gray -scale, color, nor depth to the image.

Key Historical Events in Photolithography

? 1826- Joseph Nicephore Niepce, in Chalon, France, takes the first photograph using bitumen of Judea on a pewter plate, developed using oil of lavender and mineral spirits.

? 1843- William Henry Fox Talbot, in England, develops dichromated gelatin, patented in Britain in 1852.

? 1935- Louis Minsk of Eastman Kodak developed the first synthetic photopolymer, poly(vinyl cinnamate), the basis of the first negative photoresists.

? 1940- Otto Suess of Kalle Div. of Hoechst AG, developed the first diazoquinone-based positive photoresist. ? 1954- Louis Plambeck, Jr., of Du Pont, develops the Dycryl polymeric letterpress plate.

transparent glass

Cr patterned film

掩模版

Si 光刻胶 SiO 2 film

Al film Si UV 曝光

Si

显影

Si

图形转移

Si 腐蚀Al film

Patterning by lithography and wet etching

完整的光刻工艺

Wafer with mask film (e.g. SiO2, Al)带有掩

膜的晶圆片

Photoresist coating 旋涂光刻胶

Prebake (softbake)前烘

Mask alignment 对准

Exposure 曝光

Develop-ment 显影

Postbake 后烘

Removal of exposed photoresist 去除曝光的胶

Etching of mask film 腐蚀掩膜

Removal of unexposed resist 去除非曝光的胶

Next process (e.g. implantation, deposition)

掩模板设计软件L-Edit

Starting material for reticle (刻线)

manufacturing is ~800 ? thick

film of chromium (铬)covered with resist and

anti-reflective coating (ARC)

Chromium has very good adhesion and

opaque properties (粘附力好,不透明)

Substrate: quartz glass plate (石英玻璃板)

Patterned by direct writing using e-beam or

laser (电子束或激光直写)

Usually wet etching of Cr after exposure

4 or 5x magnification is normal for projection

litho (投影制版)

Pellicle used for dust protection of reticle

掩模板制作

亮场和暗场掩模板工程

1. Optical proximity correction (OPC)光学邻近校正

High-frequency components of the diffracted light is lost because of finite apertures,

circular lenses etc

Ends and bows of narrow lines are not ideal(端面和弯曲的地方不理想)

OPC: Clever mask engineering based on software algoritms can

compensate some of this error: (软件算法补偿)

掩膜版工程

2. Phase shifting masks (PSM) (相移掩膜)

Introducing material which shifts the light by 180°for adjacent mask patterns barely resolved improved resolution

Intensity ∝ (Electrical amplitude)2Positive vs. Negative Photoresist 正性和负性光刻胶

传统光刻胶

Typically consist of 3 components:

-树脂或基材

? a binder that provides mechanical properties (adhesion, chemical resistance, etc)

- 光敏化合物(PAC)

- 溶剂

? control the mechanical properties, such as the viscosity of the base, keeping it in liquid state.

正性光刻胶(I)

?Two-component DQN resists:

Currently the most popular positive resists are referred to as DQN, corresponding

to the photo-active compound, diazoquinone (DQ) and resin, novolac (N), respectively. ?Novolac 酚醛 (N):

- a polymer whose monomer is an aromatic ring with two methyl groups and an OH group.

- it dissolves in an aqueous solution easily.

- solvent added to adjust viscosity, however, most solvent

is evaporated from the PR before exposure and so plays

little part in photochemistry

? Diazoquinone(DQ)

- 20-50 % weight

- photosensitive

- DQ Carboxylic acid 羧酸 (dissolution enhancer)

UV

正性光刻胶(I)

-Photoactive compound (DQ) is insoluble in base solution.

-Carboxylic acid readily reacts with and dissolve in a base solution

-resin/carboxylic acid mixture will rapidly takes up water

(the nitrogen released in the reaction also foams the resist, further assisting the dissolution) -The chemical reaction during the dissolution is the breakdown of the carboxylic acid into water-soluble amines such aniline and slat of K (or Na depending on the developer).

-Typical developer KOH or NaOH diluted with water

Advantages of DQN photoresists:

? the unexposed areas are essentially unchanged by the presence of the developer. Thus, line width and shape of a pattern is precisely retained.非曝光部分不变,维持线宽和形状? novolac is a long-chain aromatic ring polymer that is fairly resistant chemical attack. The

PR therefore is a good mask for the subsequent plasma etching. 酚醛是长链芳环聚合物,耐化学腐蚀

正性光刻胶(II)

? PMMA (Ploymethyl methacrylate)

short-wavelength lithography: deep UV, extreme UV, electron-beam lithography r resin itself is photosensitive (Slow)

(pro’s) high resolution

(con’s)

Plasma etch tolerance of the resist is very low.

it needs to have thick PMMA to protect the thin film, otherwise the PMMA will

disappear before the thin film doesdissociation of PMMA changes the chemistry of the plasma etch and often leads to polymeric deposits on the surface of the

substrate.

Low sensitivity

it needs to add PACs or to elevate exposure temperature to increase the speed ( the elevation of temperature can also increase the contrast)

resist feature with aspect ratio higher

than 4 is not considered to mechanical

stable.

负性光刻胶(I)

Based on azide-sensitized rubber(叠氮敏橡胶) such as cyclized

polyisoprene

? Advantages

?Negative photoresists have very high photospeeds

?Adhere to substrate without pretreatment

? Disadvantages

Swelling of photoresists during the development.

- an after develop bake will make the lies to return to their original dimension, but this swelling and shrinking process can cause the lines to be distorted. The minimum feature size of negative PR is limited to 2 μm

Dirt on mask causes pinhole

Developer is usually organic 显影液为碱性溶液,如 NaOH or TMAH (tetramethyl ammonium hydroxide)

光刻胶工艺中的问题:

驻波效应: in particular when exposing on reflective layers such as metals

解决办法:镀制减反层: Suppressed by antireflective coating (ARC) prior to resist spinning

特殊的光刻工艺

1. 剥离工艺(Lift-off)

适用于制作难腐蚀材料图形。

需要低温沉积工艺

不适合于大规模集成电路

2. 多层光刻工艺

Under development for VLSI

Example tri-layer resist:

Patterning is made in upper layer. This is used as a contact mask for the lower layer.

RIE (O2) of polymer in (c) can be replaced by flood exposure

3. 正光刻胶的像反转

曝光的光刻胶可以被胺蒸汽化学改变成非溶解的。Flood exposure + development reverses image 气相成底膜处理

? Adhesion promoters (增粘剂)are used to assist resist coating.

? Resist adhesion factors:

? moisture content on surface

? wetting characteristics of resist

? type of primer

? delay in exposure and prebake

? resist chemistry

? surface smoothness

? stress from coating process

? surface contamination

? Ideally want no H2O on wafer surface 脱水烘培

–Wafers are given a “singe” step prior to priming and coating

? 15 minutes in 80-90°C convection oven (对流炉)

增粘剂? Used for silicon:

– primers form bonds with surface and produce a polar (electrostatic) surface – most are based upon siloxane linkages (Si-O-Si)

? 1,1,1,3,3,3-hexamethyldisilazane (六甲基二硅胺脘,HMDS),

(CH3)3SiNHSi(CH3)3

? trichlorophenylsilane (TCPS), C6H5SiCl3

? bistrimethylsilylacetamide (BSA), (CH3)3SiNCH3COSi(CH3)3

? Used for gallium arsenide:

– GaAs already has a polar surface

? monazoline C

? trichlorobenzene

? xylene 主要有两种,一是旋涂 (Spin Coating),一是以气相涂布 (Vapor Coating)。前者是将 HMDS 以液态的型式,滴洒在高速旋转的芯片表面,利用旋转时的离心力,促使HMDS 均匀涂满整个芯片表面,停置一段时间后再用3000~6000rpm的高速干燥处理30s左右即可,或涂布后置于120℃的热板干燥2分钟;至于后者则是将 HMDS 以气态的型式,输入放有芯片的容器中,然后喷洒在芯片表面完成 HMDS 的涂布。在涂完增粘剂后应间隔数秒再涂光刻胶,以防增粘剂的残余蒸汽接触光刻胶,降低光刻胶的光敏性。

HMDS 涂布的方式

光刻胶旋涂工艺

? Wafer is held on a spinner chuck (旋涂机夹具)by vacuum and resist is coated to uniform thickness by spin coating.

? Typically 3000-6000 rpm for 15-30 seconds.

? Resist thickness is set by:

– primarily resist viscosity (粘度)

– secondarily spinner rotational speed (二次旋涂速度)

? Resist thickness is given by t = kp2/w1/2, where

– k = spinner constant, typically 80-100

– p = resist solids content in percent

– w = spinner rotational speed in rpm/1000

? Most resist thicknesses are 1-2 m m for commercial Si processes.

光刻胶旋涂

涂胶的质量要求是:膜厚符合设计要求,膜厚均匀,胶面上看不到干涉条纹;胶层内无点缺陷(如针孔、回溅斑等);涂层表面没有尘埃、碎屑等颗粒。

Stages of Resist Coating 旋涂法的优点:控制方便;另一个优点是当旋涂速度增加时,

胶层变薄,均匀性增加,而且当薄膜一旦均匀化后,即使旋

涂时间延长,也会保持一直这种状态。

旋涂法的缺点:随着芯片尺寸的不断加大,旋涂速度受到限

制,因而获得薄而均匀的膜层变得困难,且光刻胶用量和成

本均会上升。最大的缺点来自于光刻胶材料的使用效率太低,

在每次涂敷的光刻胶总量中,仅只有2~5%的光刻胶作为有

用膜层留在基片上,这对于大尺寸基片的涂胶来说,浪费很

大。

(1)精确控制转速。

(2)控制周围空气的温度和湿度

保持基片上方空气气流的稳定性;

注意几点:

旋涂工艺的涂胶过程会出现以下的几个现象:?边缘凸起现象

?几何效应

?伯努利效应

前烘 (Soft Bake) - 1

? 目的是去除光刻胶中的溶剂,提高粘附性,缓和胶膜内产生的应力,防止光刻胶粘到设备上。

? Typical thermal cycles:

– 90-100°C for 20 min. in a convection oven对流炉

– 75-85°C for 45 sec. on a hot plate 热板

? Commercially, microwave heating or IR lamps are also used in

production lines. (商业上,微波加热或红外灯)

? Hot plating the resist is usually faster, more controllable, and does

not trap solvent like convection oven baking.

前烘 (Soft Bake) - 2

? A narrow time -temperature window is needed to achieve proper linewidth control. (窄时间-温度窗口)

? The thickness of the resist is usually decreased by 25 % during prebake for both positive and negative resists. (厚度减少25%) ? Less prebake increases the development rate:(前烘不足增加显影速率)

前烘 (Soft Bake) - 3

? 对流炉Convection ovens:

– Solvent at surface of resist is evaporated first, which can cause resist to develop impermeable skin, trapping the remaining solvent inside (表面溶剂先挥发) – Heating must go slow to avoid solvent burst effects (缓慢加热)

?传导 (热板):

– Need an extremely smooth surface for good thermal contact and heating uniformity (需要平的表面实现好的热接触和加热均匀性)

– Temperature rise starts at bottom of wafer and works upward, more thoroughly evaporating the coating solvent 底部先升温,残留溶剂少,时间短 – Generally much faster and more suitable for automation (快)

对准和曝光

对准标记

重要指标: 线宽分辨率、套准精度、颗粒和缺陷

自对准光刻

后烘

? 目的: to remove solvents, to improve adhesion, and to increase the etch resistance 去除溶剂,增强粘附力,增强抗蚀性

? Post-bake is not needed if a soft resist is

desired, such as for metal lift off process.对于金属剥离工艺,无需后烘

? 温度: 115°C for S1800 series ? 时间: 10 min

光刻胶剥离

O2等离子体去胶或灰化

曝光光源

?光源要求:

--Short wavelength短波长

--High intensity高强度

--Stable稳定

?Typical sources used in Photolithography --High-pressure mercury lamp 高压汞灯 --Excimer laser 准分子激光

Spectrum of the Mercury Lamp

汞灯谱线

G-line

(436)

H-line

(405)

I-line

(365)

300 400 500 600

Wavelength (nm)

I

n

t

e

n

s

i

t

y

(

a

.

u

)

Deep UV

(<260)

Photolithography Light Sources

Name Wavelength (nm)Application feature

size (m m)

G-line4360.50 Mercury Lamp H-line 405

I-line3650.35 to 0.25

XeF351

XeCl308

Excimer Laser KrF (DUV)2480.25 to 0.15

ArF1930.18 to 0.13 Fluorine Laser F21570.13 to 0.1

Exposure Control曝光控制?Exposure controlled by production of light

intensity and exposure time

?Very similar to the exposure of a camera ?Intensity controlled by electrical power ?Adjustable light intensity

?Routine light intensity calibration

对准和曝光系统

?Contact printer接触曝光

?Proximity printer邻近曝光

?Projection printer投影曝光

?Stepper步进机

有掩膜曝光系统

接触式曝光

?Simple equipment ?Use before mid-70s

?Resolution: capable for sub-micron (亚微米) ?

Direct mask-wafer contact, limited mask lifetime 掩膜版和晶圆直接接触,导致寿命有限

?Particles 颗粒玷污

?依赖于操作者,引入重复性和控制问题

Light Source

Lenses

Mask Photoresist

Wafer

Contact Printing

N-Silicon

PR

UV Light

Mask

Proximity Printer 邻近曝光机

?20世纪70年代

?~ 10 m m from wafer surface ?No direct contact ?Longer mask lifetime

?

Resolution: > 3 m m (减小了分辨率和关键尺寸)

Proximity Printer

Light Source

Lenses

Mask Photoresist

Wafer

~10 m m

Proximity Printing

N-Silicon

PR

UV Light

~10 m m

Mask

投影光刻机

?20世纪70年代末80年代初占主导地位 ?Works like an overhead projector ?Mask to wafer, 1:1

?Resolution to about 1 m m

?

挑战: 制造亚微米尺寸的掩模版

Light Source

Lenses

Mask Photoresist

Wafer

投影系统

Light Source

Lens Mask Photoresist

Wafer

Scanning Projection System

扫描投影系统

Synchronized mask and wafer movement

Slit

Lens

Stepper 步进扫描光刻机

?20世纪80年代后期90年代, 只投影一个曝光场,再步进到另一个位置曝光。

?Most popular used photolithography tool in the advanced IC fabs

?缩小尺寸:最初10:1,后来5:1或4:1 ?Reduction of image gives high resolution

?0.25 m m and beyond(对于i 线,CD 到0.35 m m) ?Very expensive

Step-&-Repeat Alignment/Exposure

分步重复对准/曝光

Step&Repeat Alignment System

Wafer Stage

Interferometer Mirror Set

Alignment Laser

Projection Lens

Wafer

Interferometer Laser

X

Y

Reticle Stage

Reference Mark

Light Source

Reticle

步进扫描光刻机

?混合设备,融合扫描投影光刻机和分步重复光刻机技术,通过使用缩小透镜扫描一个大曝光场图像到硅片上一部分实现的。

?增大了曝光场,可获得较大的芯片尺寸。标准曝光场尺寸26×33mm,使用6英寸投影掩模。

?0.25微米以下

光刻线流程

Hot Plates

Prep

Chamber

Chill Plates

Chill Plates

Spin Coater

Developer

Stepper

Wafer

Movement Wafer

Center Track Robot

Optics of Photolithography

?Light diffraction光衍射

?Resolution分辨率

?Depth of focus (DOF)焦深

光刻中,对图像质量起关键作用的两个因素是分辨率和焦深

Diffraction

?Diffraction is a basic property of light --Light is a wave

--Wave diffracts

--Diffraction affects resolution

Light Diffraction Without Lens

Diffracted light Mask Intensity of the

projected light

Diffraction Reduction ?Short wavelength waves have less diffraction

(短波长)

?Optical lens can collect diffracted light and enhance the image (透镜)

Light Diffraction With Lens

Diffracted light collected by the lens

Strayed

refracted light Lens

Ideal light Intensity pattern

Less diffraction after focused by the lens Mask

r o

D

分辨率 Resolution

?定义为清晰分辨出硅片上间隔很近的特征图形对的能力(例如相等的线条和间距) ?分辨率公式:

NA

K R λ1=

?K 1 is the system constant 工艺因子:0.6~0.8 λ is the wavelength of the light NA = 2 r o /D , 数值孔径

Numerical Aperture 数值孔径

?NA is the ability of a lens to collect diffracted light

?NA = 2 r 0 / D

–r 0 : radius of the lens

–D = the distance of the object from the lens

?Lens with larger NA can capture higher order of diffracted light and generate sharper image. ?增加NA,需要更大的透镜半径,昂贵。

改进分辨率的方法

?增加 NA

–Larger lens, could be too expensive and unpractical

–Reduce DOF and cause fabrication difficulties

?减小波长

–Need develop light source, PR and equipment –Limitation for reducing wavelength –UV to DUV, to EUV, and to X-Ray

?减小 K 1

–Phase shift mask 相移掩模版, 光学接近修正

Exercise 1, K 1 = 0.6

λ NA

R

G-line 436 nm 0.60 ___ m m I-line 365 nm 0.60 ___ m m DUV 248 nm 0.60 ___ m m

193 nm

0.60

___ m m

NA

K R λ

1=

Phase Shift Mask 相移掩模

Quartz substrate

Chrome pattern

Pellicle Phase shift coating

d

n f

d (n f - 1) = λ/2

n f : Refractive index of phase shift coating

Phase Shift Mask Patterning

Substrate PR

Substrate PR

Total Light Intensity

Final Pattern

Designed Pattern

Substrate PR

Designed Pattern

Substrate PR

Final Pattern

Total Light Intensity Phase shift coating Normal Mask

Phase Shift Mask

Destructive Interference

Constructive Interference

Depth of Focus 焦深

) ( 2 2 NA K DOF λ =

2

Focus

The range that light is in focus and can achieve good resolution of projected image

2

2)(2NA K DOF λ=

Depth of Focus

?Smaller numerical aperture, larger DOF

–Disposable cameras with very small lenses –Almost everything is in focus –Bad resolution

?Prefer reduce wavelength than increase NA to improve resolution

?High resolution, small DOF ?Focus at the middle of PR layer

Exercise 2, K 2 = 0.6

λ NA

DOF

G-line 436 nm 0.60 ___ m m I-line 365 nm 0.60 ___ m m DUV 248 nm 0.60 ___ m m

193 nm

0.60

___ m m

)(22NA K DOF λ

=

2

Focus on the Mid-Plain to Optimize the Resolution

Photoresist Substrate

Depth of focus Center of focus

Surface Planarization Requirement

表面平坦化需要

?Higher resolution requires

–Shorter λ –Larger NA .

?Both reduces DOF

?Wafer surface must be highly planarized.

?CMP (化学机械抛光)is required for 0.25 m m feature patterning.

对准和套准

?对准就是确定硅片上图形的位置、方向和变形的过程,然后利用这些数据与投影掩模图形建立起正确关系。

?对准过程的结果或者每个连续的图形与先前层匹配的精度,被称为套准。

?套准精度是测量对准系统把 版图套准到硅片上图形的能力。套准容差描述要 形成图形层和前层的最大相对位移。

?一般,套准容差大约是关键尺寸的三分之一。

Future Trends of Photolithography

光刻发展趋势

?Smaller feature size (更小的特征尺寸) ?Higher resolution (更高的分辨率) ?Reducing wavelength (更短的波长) ?

Phase-shift mask (相移掩膜)

Future Trends

1.5

1.0

0.8

0.5

0.35

0.25

0.18 0.13

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 84

88 90 93 95

98 01

04

Y ear

F e a t u r e S i z e (u m )

07

10

0.10 0.07 Photolithography

Next Generation Lithography

Maybe photo-lithography 14

Future Trends

?Even shorter wavelength

–193 nm –157 nm

?Silicate glass absorbs UV light when λ < 180 nm ?CaF 2 optical system

?Next generation lithography (NGL)

–Extreme UV (EUV) –Electron Beam –X-ray (?)

I-line and DUV

?Mercury i-line, 365 nm

–Commonly used in 0.35 m m lithography

?DUV KrF excimer laser, 248 nm

–0.25 m m, 0.18 m m and 0.13 m m lithography

?ArF excimer laser,193 nm

–Application: < 0.13 m m

?F 2 excimer laser 157 nm

–Still in R&D, < 0.10 m m application

I-line and DUV

?SiO 2 strongly absorbs UV when λ < 180 nm ?Silica lenses and masks can’t be used ?157 nm F 2 laser photolithography

–Fused silica with low OH concentration, fluorine doped silica, and calcium fluoride (CaF 2), –With phase-shift mask, even 0.035 m m is possible

?Further delay next generation lithography

Next Generation Lithography (NGL)

?Extreme UV (EUV) lithography 极紫外光刻 ?X-Ray lithography X 射线光刻

?Electron beam (E-beam) lithography 电子束光刻

EUV

? λ = 10 to 14 nm ?Higher resolution ?Mirror based

?Projected application ~ 2010 ?

0.1 m m and beyond

EUV Lithography

Mask

Mirror 2

Mirror 1

Wafer

X-ray lithography

?Similar to proximity printer

?Difficult to find pure X-ray source ?Challenge on mask making

?

Unlikely will be used in production

X-ray Printing

Photoresist Substrate

X-ray

Beryllium 铍

Gold

Optical Mask and X-ray Mask

X-ray Mask

Beryllium 铍 Gold

Glass

Chromium 铬

Photo Mask

E-Beam

?Used for making mask and reticles ?Smallest geometry achieved: 0.014 m m ?Direct print possible, no mask is required

–Low throughput

?Scattering exposure system (SCALPEL) looks promising

–Tool development –Reticle making –Resist development

Electron Beam Lithography System

Wafer

Blanking Plate

Lens

Lens

Lens

Electron Gun

Deflection Coils Stigmator

Ion Beam Lithography

?Can achieve higher resolution

–Direct writing and projection resist exposing –Direct ion implantation and ion beam sputtering patterned etch, save some process steps

?

Serial writing, low throughput 顺序写,效率低 ?Unlikely will be used in the mass production ?Mask and reticle repairing 掩膜版修复 ?IC device defect detection and repairing

热压印刷纳米复制

50 nm dots

30 nm dots (Ni)

Sub-10 nm lines (Si)

Gravure

Lift-off

moule substrat

résine Impression

Séparation

Dép?t métallique

Before lift-off

After lift-off

软膜纳米光印刷

Transfer : after lift-off and after etching

New concept of stamps

Silicon

PMMA

Replica

Period 200 nm

Mold and stamps

Imprinted

膜压纳米结构及全塑器件

100m m

1.5m m

1m m

Si wafer PMMA pellets

Si mold

1. Charge of pellets

3. Separation

2. Compression

Micro channels

Nano pillars

Nano grill

mold

poor PDMS

polymerisation

Elastomer

软膜复制与分子自组印刷

Inking Cheimical or biological inks

substrate

Printing

Assembling

Etching

Au Substrat

Sulphate

Hydrocarbon Head

Monolayer assembling

Chemical organization with DNA, RNA,

Proteins, Cells, Antibody, etc.

特殊微细加工技术

LIGA 技术

? German acronym for (LIthograpie, Galvanoformung, Abformung),

– lithography, electroplating / electrodeposition , molding 制版,电镀/电铸,制模

? Developed at the Karlsruhe Research Center in the early 80’s ? Features

– allow 3D microstructure of several hundred μm high and laterally in 0.2 μ m

– can use plastics, metals, ceramics or their combinations - hybrid microsystem – high production cost

曝光 显影

金属沉积

电镀

模具 塑料复制

LIGA-工艺原理示意图

Characteristics

–High aspect ratio up to 100s –Minimal dimensions 0.2 μm –One / two level –Small sidewall roughness –More material choice with more application possibilities –Height > 1 mm

–Cheaper in massive production

Mold insert with optical grating Mold insert height 200 μm

LIGA-工艺的特点

用LIGA 工艺制造的模子

流体通道

光学表面(反射箔)

Combination with Lithography:

光纤连接器

用LIGA工艺制造的产品

Lead frame, height 500 μm 导线架Optical grating, step

height 1 μm 光栅

LIGA技术的主要应用

微齿轮、微接插件、微加速度

传感器、微型涡轮、微马达等多种

三维微结构器件,LIGA技术在医

疗、生物、精密仪器、航空航天、

军事、通讯等各个领域都有广泛的

应用前景。

?需要高能量X射线源-同步辐射回旋加速器;

?用于LIGA技术的掩模板制作工艺复杂,造价高,并且寿命短;?LIGA技术与成熟的集成电路工艺兼容性较差。

U1 :光刻

U4 :红外与远红外光谱

U7A :高空间分辨X射线成像

U7B :X射线散射与衍射

U7C :扩展X光吸收精细结构

U10 :燃烧

U12A:软X射线显微术

U14A:原子与分子物理

U14C:真空紫外分析

U18 :表面物理

U19 :软X 射线磁性圆二色

U20 :光电子能谱

U24 :真空紫外光谱

U25 :光声与真空紫外圆二色光谱

U26 :光谱辐射标准与计量

中国科技大学合肥同步辐射实验站

超微细加工(LIGA)光束线

LIGA光束线和实验站用于LIGA技术及应用研究,获得三维大高宽比微结构。同步辐射光从超导Wiggler引出,利用200微米厚的

铍窗进行真空隔离和滤波,波长范围0.1-0.7nm。通过样品台扫描获得曝光面积为30×60mm2,适合2英寸、3英寸基片曝光的要求。同时,可以实现倾斜曝光和多次对准曝光。目前已经获得同步光刻深度达到2mm;最小线宽达到3m m,高宽比大于100;同时通过倾斜和旋转曝光刻蚀出三维复杂结构。

UV-LIGA 技术

UV-LIGA 技术是利用常规光刻机上的深紫外光对厚胶光刻,形成电铸模,结合电镀、化学镀或牺牲层技术,由此获得固定的或可转动的金属微结构。整个工艺包括两个部分:一是获得高深宽比胶模图形的光刻工艺,二是电铸工艺。

UV-LIGA 与LIGA 技术特点

比较

Applications of SU-8 resist for both UV and x-ray lithography

SU-8 has superior sensitivity

Pros: rapid prototyping, short exposure time Cons: thicker Au absorber

Char. Dose SU-8 PMMA Development dose / Bottom Dose

20 J/cm 2

3500 J/cm 2

Damage Dose / Top Dose N.A.

Further research 20000 J/cm 2 Threshold Dose / Critical Dose

0.05 J/cm 2

100 J/cm 2

Characteristic Dose Values SU-8 versus PMMA

SU-8胶微结构

圆棒直径20m m ,高163.2 m m ,深宽比为8.16

(a) 400m m tall grating with 5 m m step and close-up view

Mask Ti membrane/ Source IMT/FZK

(b) 400 m m microstructure with 5 m m smallest sizes

The smallest feature sizes of 5 m m have been patterned.

图形质量

(a) 400m m tall grating with 20 m m steps (b) 700 m m tall grating with 20 m m steps

(c) 1000 m m tall posts with 30 m m smallest size (d) 1500 m m tall grating with 20 m m steps

Other SU-8 Structures

(a) 100, 250 and 700 μm three-layer structure . (b) 200 μm tall with 25 μm diameter inclined posts.

SU-8 Negative DXRL Resist

Multi-level and 3D

Mold Insert from SU-8 Structures

Substrate

SU-8 Pattern Substrate

PDMS Mold SU-8 Pattern PDMS

Nickel Mold

SU-8 mold

PDMS mold

Nickel mold

聚合物微纳制造技术现状及展望

聚合物微纳制造技术现状及展望 目录 聚合物微纳制造技术现状及展望 (1) 1、微纳系统的意义、应用前景 (1) 2、微纳机电系统国内外研究现状和发展趋势 (3) 3. 聚合物微纳制造技术研究现状 (9) 4. 展望 (11) 微/纳米科学与技术是当今集机械工程、仪器科学与技术、光学工程、生物医学工程与微电子工程所产生的新兴、边缘、交叉前沿学科技术。微/纳米系统技术是以微机电系统为研究核心,以纳米机电系统为深入发展方向,并涉及相关微型化技术的国家战略高新技术[1]。微机电系统(Micro Electro Mechani cal System, MEMS ) 和纳机电系统(Nano Electro Mechanical System, NEMS )是微米/纳米技术的重要组成部分,逐渐形成一个新的技术领域。MEMS已经在产业化道路上发展,NEMS还处于基础研究阶段[2]。 从微小化和集成化的角度,MEMS (或称微系统)指可批量制作的、集微型机构、微型传感器、微型执行器以及信号处理和控制电路,直至接口、通讯和电源等于一体的微型器件或系统。而NEMS(或称纳系统) 是90 年代末提出来的一个新概念,是继MEMS 后在系统特征尺寸和效应上具有纳米技术特点的一类超小型机电一体的系统,一般指特征尺寸在亚纳米到数百纳米,以纳米级结构所产生的新效应(量子效应、接口效应和纳米尺度效应) 为工作特征的器件和系统。图1给出了MEMS 和NEMS 的特征尺度、机电系统的尺度与相应的理论问题[2]。 图1 MEMS 和NEMS 的特征尺度、机电系统的尺度与相应的理论问题 1、微纳系统的意义、应用前景 由于微/纳机电系统是一门新兴的交叉和边缘学科,学科还处于技术发展阶段,在国内外尚未形成绝对的学科和技术优势;微/纳米技术还是一项支撑技术,它对应用背景有较强的依赖性,目前它的主要应用领域在惯导器件、军事侦察、通信和生物医学领域,以及微型飞机和纳米卫星等产品上。 (1)重要的理论意义和深远的社会影响

微纳光学结构及应用

1引言微纳光学主要指微纳米尺度的光学效应,以及利用微纳米尺度的光学效应开发出的光学器件、系统及装置。微纳光学不仅是光电子产业的重要发展方向之一,也是目前光学领域的前沿研究方向。微纳光学的发展是由大规模集成电路工艺水平的进步所推动的。早在20世纪50年代,德国著名教授A.W.Lohmann [1]就考虑到利用光栅的整体相移技术对光场相位编码,以实现对光波的人工控制。1964年夏季,A.W.Lohmann 教授指导大学生Byron ,利用IBM 当时先进的制版设备演示了世界上第一张计算机全息图。随后的衍射光学进展都可以看作是人为地控制或改变光的波前,从这个意义上说,这个工作具有革命性的意义。随着半导体工艺技术的进步,微米尺度的任意线 宽都可以加工出来。由此,达曼提出一种新型的微光学分束器件,后人叫做达曼光栅[2]。达曼光栅通过任意线宽的二值相位调制,将一束激光分成多束等强度的激光。其制作充分利用了微电子工艺技术,是一个典 型的微光学器件[3]。 达曼光栅一般能产生一维或者二维矩阵的光强分布。周常河等[4]提出了圆环达曼光栅,也就是不同半径的圆孔相位调制,实现多级等光强的圆环分布。我们知道,圆孔的傅里叶变换是贝塞尔函数,而矩形的傅里叶变换是SINC 函数,因此,虽然达曼光栅和圆环达曼光栅的物理本质一样,但是其数学处理却不相同[5]。随着制造技术水平的进步,出现了一些纳米光学领域的新概念:光子晶体(Photonic Crystal )[6]、 表面微纳光学结构及应用 Micro-&Nano-Optical Structures and Applications 摘要简短回顾微纳光学的几个重要研究方向,包括光子晶体、表面等离子体光学、奇异材料、负折射、隐身以及 亚波长光栅等。微纳光学不仅成为当前科学的热点研究领域,更重要的是,微纳光学是新型光电子产业的 发展方向,在光通信、光存储、激光核聚变工程、激光武器、太阳能利用、半导体激光、光学防伪技术等诸多 领域,起到了不可替代的作用。 关键词微纳光学;纳米制造;微纳光学产业 Abstract Important areas of micro -and nano -optics are introduced,which include photonics crystal, plasmonics,metamaterials,negative -index materials,cloaking,subwavelength gratings and others. Micro -and nano -optics is not only the hot subject of the current scientific research,and more importantly,it reflects the new direction of the optoelectronics industry,which will be widely used in optical communications,optical storage,laser fusion facility,laser weapon,utilization of solar energy, semiconductor laser,optical anti-faking and others areas. Key words micro-&nano-optics;nanofabrication;micro-&nano-optical industry 中图分类号TN25doi : 10.3788/LOP20094610.0022

微电子工艺论文----光刻胶解读

光刻胶的深入学习与新型光刻胶 张智楠 电科111 信电学院山东工商学院 264000 摘要:首先,本文从光刻中的光刻胶、光刻胶的分类、光刻胶的技术指标(物理特性)这几个方面对光刻工艺中的光刻胶进行了详细的深入学习;其次,介绍了当代几种应用广泛的光刻胶以及新型光刻胶;最后,对光刻胶的发展趋势进行了简单的分析。 关键词:光刻、光刻胶、紫外负型光刻胶、紫外正型光刻胶、远紫外光刻胶。 光刻(photoetching)工艺可以称得上是微电子工艺中最为关键的技术,决定着制造工艺的先进程度。光刻就是,在超净环境中,将掩膜上的几何图形转移到半导体晶体表面的敏光薄材料上的工艺过程。而此处的敏光薄材料就是指光刻胶(photoresist)。光刻胶又称光致抗蚀剂、光阻或光阻剂,由感光树脂、增感剂和溶剂三种主要成分组成的对光敏感的混合液体。感光树脂经光照后,在曝光区能很快地发生光固化反应,使得这种材料的物理性能,特别是溶解性、亲合性等发生明显变化。经适当的溶剂处理,溶去可溶性部分,得到所需图像。 光刻胶的技术复杂,品种较多。对此探讨以下两种分类方法: 1、光刻胶根据在显影过程中曝光区域的去除或保留可分为两种——正性光刻胶(positive photoresist)和负性光刻胶(negative photoresist)。正性光刻胶之曝光部分发生光化学反应会溶于显影液,而未曝光部份不溶于显影液,仍然保留在衬底上,将与掩膜上相同的图形复制到衬底上。负性光刻胶之曝光部分因交联固化而不溶于阻显影液,而未曝光部分溶于显影液,将与掩膜上相反的图形复制到衬底上。正胶的优点是分辨率比较高,缺点是粘附性不好,阻挡性弱。与之相反,负胶的粘附性好,阻挡性强,但是分辨率不高。 2、基于感光树脂的化学结构,光刻胶可以分为三种类型。一是光聚合型,采用烯类单体,在光作用下生成自由基,自由基再进一步引发单体聚合,最后生成聚合物,具有形成正像的特点。二是光分解型,采用含有叠氮醌类化合物的材料,经光照后,会发生光分解反应,由油溶性变为水溶性,可以制成正性胶。三是光交联型,采用聚乙烯醇月桂酸酯等作为光敏材料,在光的作用下,其分子中的双键被打开,并使链与链之间发生交联,形成一种不溶性的网状结构,而起到抗蚀作用,这是一种典型的负性光刻胶。 光刻胶的技术指标或者说物理特性有如下几个方面:一、分辨率(resolution),区别硅片表面相邻图形特征的能力,一般用关键尺寸(CD,Critical Dimension)来衡量分辨率。形成的关键尺寸越小,光刻胶的分辨率越好。二、对比度(Contrast),指光刻胶从曝光区到非曝光区过渡的陡度。对比度越好,形成图形的侧壁越陡峭,分辨率越好。三、敏感度(Sensitivity),光刻胶上产生一个良

机械工程系十三五专业建设规划.

机械工程系十三五专业建设规划 一、指导思想 以邓小平理论、“三个代表”重要思想、科学发展观为指导,深入贯彻党的十八届三中、四中、五中全会精神,依据《国家中长期教育改革和发展规划纲要(2010-2020)》、《关于全面提高高等职业教育教学质量的若干意见》(教高〔2006〕16号)、《国务院关于加快发展现代职业教育的决定》国发〔2014〕19号文件精神,针对“一带一路”、《中国制造2025》等国家战略,以及重庆“五大功能区”发展战略,“6+1”支柱产业、战略性新兴产业需求,构建装备制造专业群和汽车技术专业群。 二、现有基础 (一)产业背景分析 建设装备制造专业群和汽车技术专业群,培养机械制造、工业机器人和汽车维修领域的技术技能人才,是国家产业发展的需要。建设装备制造专业群和汽车技术专业群的产业背景如下: 国家实施制造强国战略,深入实施《中国制造2025》,以提高制造业创新能力和基础能力为重点,推进信息技术与制造技术深度融合,促进制造业朝高端、智能、绿色、服务方向发展,培育制造业竞争新优势。 智能制造机器人列为国家重大工程项目,国家将大力发展工业机器人、服务机器人、手术机器人和军用机器人,推动高精密减速器、高速高性能控制器、高性能伺服电机及驱动器关键零部件的自主化,推动人工智能技术在各领域商用。 新能源汽车属于国家战略新兴产业,国家将实施新能源汽车推广计划,鼓励城市公交和出租车使用新能源汽车。 (二)人才需求分析 装备制造专业领域和汽车技术专业领域技术技能人才需求旺盛。 通过对渝东北机械企业的调研发现:机械制造方面的技能人才每年将需求1万人,工厂电气维修技能人才每年需求量为5000人。对渝东北汽车制造和维修企业调研发现:汽车领域的技能人才每年需求量约为8000人。 (三)专业建设基础 1、课程条件基础 机械工程系目前开设有机械制造与自动化、汽车应用与维修技术和机械装备制造技术三

微电子工艺技术 复习要点4-6

第四章晶圆制造 1. CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 1、溶硅 2、引晶 3、收颈 4、放肩 5、等径生长 6、收晶。 CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室,加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒CZ法优点:单晶直径大,成本低,可以较好控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶 FZ法优点:1、可重复生长,单晶纯度比CZ法高。2、无需坩埚石墨托,污染少。3、高纯度,高电阻率,低碳,低氧。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 111.100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 1、对晶圆A清洗并生成一定厚度的SO2层。 2、注入一定的H形成富含H的薄膜。 3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H 脱离A和B键合 4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 6名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺

2014国内机械工程类相关期刊(包括最新EI)

国内机械工程类相关期刊 1. 机械设计方向:机械科学与技术、机械设计与研究、机械设计、工程设计学报、机械设计与制造、计算机辅助设计与图形学学报(EI)、工程图学学报、振动工程学报(EI)、振动与冲击(EI)、计算力学学报(EI)、应用力学学报(EI)、力学进展、地震工程与工程振动、爆炸与冲击、力学季刊、力学学报(EI)、工程力学(EI)、固体力学学报(EI)、实验力学、计算机辅助工程、机械强度、强度与环境、摩擦学学报(EI)、润滑与密封、系统仿真学报、计算机仿真、应用基础与工程科学学报、应用科学学报、科学技术与工程、轴承、机械传动 2. 机械制造方向:制造技术与机床、机床与液压、组合机床与自动化加工技术、现代制造工程、机械制造、制造业自动化、中国制造业信息化、航空精密制造技术、航空制造技术、精密制造与自动化、计算机集成制造系统CIMS(EI)、模具技术、模具工业、焊接、焊接技术、焊接学报(EI)、铸造、铸造技术、锻压技术、锻压装备与制造技术、金刚石与磨料磨具工程、中国表面工程、工具技术、塑性工程学报、机械工程材料、热加工工艺 3. 机电测控方向:机电工程、机械与电子、机电一体化、数据采集与处理、信号处理、仪器仪表学报(EI)、测试技术学报、传感技术学报、现代仪器、自动化与仪表、仪表技术与传感器、电子测量技术、电子测量与仪器学报、传感器与微系统、自动化学报(EI)、控制理论与应用(EI)、控制与决策(EI)、控制工程、测控技术、信息与控制、模式识别与人工智能(EI)、电机与控制学报(EI)、工业仪表与自动化装置、遥感技术与应用、自动化仪表、计算机测量与控制、振动测试与诊断(EI)、噪声与振动控制、计算机工程与应用、机器人(EI)、无损检测、液压与气动、计量学报、电机与控制应用 4. 专业机械方向:农业机械学报(EI)、建筑机械、矿山机械、流体机械、起重运输机械、工程机械、石油机械、石油矿场机械、重型机械、煤矿机械、化工机械、食品与机械、筑路机械与施工机械化、压缩机技术、风机技术、水泵技术、声学技术、光学技术、汽轮机技术、车用发动机、压力容器、微电机、微特电机、汽车工程、船舶工程、动力工程、热能动力工程、材料工程(EI)、材料科学与工艺(EI)、光学精密工程(EI)、工程塑料应用、应用声学、声学学报(EI)、工业安全与环保、工业工程、工业工程与管理、实验技术与管理、磁性材料及器件、中国电机工程学报(EI) 5. 军工机械方向:航空学报、航空学报英文版(SCI)、航空动力学报(EI)、航空材料学报(EI)、宇航学报(EI)、宇航材料工艺(EI)、宇航计测技术、航天控制、飞行器测控学报、空间科学学报、中国航天、中国空间科学技术、探测与控制学报、弹箭与制导学报(EI)、弹道学报(EI)、固体火箭技术(EI)、火炮发射与控制学报、飞航导弹、导弹与航天运载技术、现代防御技术、火工品、推进技术(EI)、兵工学报(EI)、火炸药学报、核技术(EI)、核技术英文版、核科学与工程、核电子学与探测技术、西北工业大学学报(EI)、中北大学学报(EI)、北京航空航天大学学报(EI)、南京航空航天大学学报(EI)、沈阳航空航天大

微纳制造技术作业

问题:1、微机械制造材料大致分为几类而常用的制造微机电产品的材料有哪些,MEMS装置为何大多选用硅材料制造 2、纳米材料与常规的材料相比,有哪些优点 答:1、(1)微机械制造材料大致分为结构材料、功能材料和智能材料三大类。 (2)常用的制造微机电产品的材料有: a,结构材料:是以力学性能为基础,具有一定强度,对物理或化学性能也有一定要求,一般用于构造微机械器件结构机体的材料,如硅晶体。 b,功能材料:指那些具有优良的电学、磁学、光学、热学、声学、力学、化学、生物医学功能,特殊的物理、化学、生物学效应,能完成功能相互转化,主要用来制造各种功能元器件而被广泛应用于各类高科技领域的高新技术材料。如压电材料、光敏材料等。 c,智能材料:一般具备传感、致动和控制3个基本要素。如形状记忆合金、磁/电致伸缩材料、导电聚合物、电流变/磁流变材料等。 (3)由于硅材料具有众多优点,所以MEMS装置大多选用硅材料制造。 其优点如下:?? ①优异的机械特性:在集成电路和微电子器件生产中,主要利用硅的电学特性;在微机械结构中,则 是利用其机械特性。或者同时利用其机?械特性和电学特性,即具有机电合一的特性,便于实现机电器件的集?成化。? ②储量丰富,成本低。硅是地壳中含量最多的元素之一,自然界的硅元素通常以氧化物如石英(sio2) 的形式存在,使用时要提纯处理,通?常加工成为单晶形式(立方晶体,各向异性材料)? ③便于批量生产微机械结构和微机电元件。硅材料的制造工艺与基层电路工艺有很好的兼容性,便于 微型化、集成化和批量生产。硅的微细?加工技术比较成熟,且加工精度高,容易生成绝缘薄膜。? ④具有多种传感特性,如压电阻效应、霍尔效应。? ⑤纯净的单晶硅呈浅灰色,略具有金属性质。可以抛光加工,属于硬脆材料,热传导率较大,对温度 敏感。 2、纳米材料内部粒子的尺寸减小到纳米量级,将导致声、光、电、磁、热性能呈现新的特性。对纳米体 材料,可以用“更轻、更高、更强”这六个字来概括。 ①“更轻”是指借助于纳米材料和技术,可以制备体积更小性能不变甚至更好的器件,减小器件的体

微电子工艺扫盲课程.pdf

)))))))) Warning and explanation:文中所引用图片均来自于互联网和中科院半导体所官方网站。本人只是用于讲解知识所用,并未用于商业获利行为。产生任何法律纠纷均与我无关。请勿盗链文中的 图片,后果自负! 介货就是硅 微电子制造工艺在微电子整体产业中处于中游阶段(上游是电路设计,下游是封装测试)。一个芯片的制造能否达到设计要求,与制造工艺有很大的关系,因此有必要对工艺线的流程为大家说 明讲清楚。我们手中使用的mobilephone,camera,ipad内部电路板上焊接的形状各异外形诡 异的小芯片都是如何造出来?想必大家都是有兴趣知道的。即使没有电子工程的基础,通过我的讲解也是可以,你对这个最精密自动化程度最高的行业有一个清晰的轮廓。 IC(integrate circuit)的制造分为前工序和后工序。 前工序:IC制造工程中,晶圆光刻的工艺(即所谓流片),被称为前工序,这是IC制造的最要害技术。 后工序:晶圆流片后,其切割、封装等工序被称为后工序。 我们所要了解的就是前工序的内容,打蛇打七寸,直入要害。 首先,光刻过程的操作流程为: 衬底氧化—涂胶—光刻机曝光—显影烘干—刻蚀—清洗干燥—离子注入(等离子刻蚀、金属淀积)—去胶。 其中最费钱的一步大家知道是什么吗? 光刻机曝光。流片光刻的费用约占到总体花费的40%左右。很多研究机构或者高校做芯片设计 只是通过软件模拟一下,由此就以这些数据写论文,很少有经费可以去流片测试。况且一个可以投产的芯片并不是一次流片就能成功的,通常情况下需要四次甚至更多次数。以西电微电子学院的军用RFID为例,流片次数已过4次,电路尺寸逐步达到设计标准。军用研发经费充足,不计 成本,不过半导体产业高投入的现状可见一斑。 现在通过图片讲解对各部工序逐一讲解: ))))))))). ))))))))

电子束曝光

集成技术中心技术报告
电子束曝光技术
中国科学院半导体研究所 半导体集成技术工程研究中心
韩伟华
Email: weihua@https://www.doczj.com/doc/de763572.html,



? 设备的组成、性能及相关工艺设备 ? 电子束曝光设备的操作程序 ? 电子束曝光的关键技术
?
? ? ? ? ? ?
曝光模板的设计 电子束光刻胶的厚度控制 电子束的聚焦 坐标系的建立与写场对准 纳米套刻技术 电子束扫描方式与曝光 电子束剂量的比较与技术参数
? 高分辨率的纳米曝光图形的实现 ? 电子束光刻用户的培训

设备的组成与性能
德国EBL Raith150
主要用途
? 量子纳米器件的微结构:如纳米电子器件,AB环 ? 集成光学器件:光子晶体, 光栅, 弯曲波导 ? NEMS 结构 ? 小尺寸的光刻板,如1×1 cm2 ? 对应版图进行SEM观察
主要特征
? 电子枪:高分辨率的热场(Schottky)发射源 (尺寸: 20nm) ? 束能量可调:200eV-30keV ? 图形直写(<0.5μm) :最小线宽分辨率20nm ? 写场可调: 0.5μm-1000μm ? 图形快速生成:10MHz 描写速度 ? 晶片支架:1cm2 样片~ 6inch晶片 ? 水平控制:三点压电接触(自动)或6”激光干涉平台(手动) ? 双PC机控制系统:曝光与SEM测量 ? 图形编辑:GDSII格式,剂量可调

设备的组成

电子束曝光及其相关工艺设备
光刻
衬底
甩胶
衬底 电子束曝光 微米工艺 + 纳米工艺
电子束套刻 ICP刻蚀
衬底
显影
等离子体
衬底 图形转移
金属 衬底 衬底
金属蒸发
去胶 SEM 观察

纳米科学与微纳制造》复习材料.docx

《纳米科学与微纳制造》复习材料1、纳米材料有哪些危害性? 答:纳米技术对生物的危害性: 1)在常态下对动植物体友好的金,在纳米态下则有剧毒; 2)小于 100nm的物质进入动物体内后,会在大脑和中枢神经富集,从而影响动物的正常生存; 3)纳米微粒可以穿过人体皮肤,直接破坏人体的组织及血液循环。 2、什么是纳米材料、纳米结构? 答:纳米材料:纳米级结构材料简称为纳米材料,是指组成相或晶粒结构的尺寸介于1nm~100nm范围之间,纳米材料大致可分为纳米粉末、纳米纤维、纳米膜、纳米块体等四类。 纳米材料有两层含义: 其一,至少在某一维方向,尺度小于 100nm,如纳米颗粒、纳米线和纳米薄膜,或构成整体材料的结 构单元的尺度小于 100nm ,如纳米晶合金中的晶粒 ; 其二,尺度效应:即当尺度减小到纳米范围,材料某种性质发生神奇的突变,具有不同于常规材料的、优异的特性量子尺寸效应。 纳米结构:以纳米尺度的物质为单元按一定规律组成的一种体系。 3、什么是纳米科技? 答:纳米科技是研究在1-100nm 内,原子、分子和其它类型物质的运动和变化的科学;同时在这一尺度范围内对原子、分子进行操纵和加工的技术。 4、什么是纳米技术的科学意义? 答:纳米尺度下的物质世界及其特性,是人类较为陌生的领域,也是一片新的研究疆土在宏观和 微观的理论充分完善之后,再介观尺度上有许多新现象、新规律有待发现,这也是新技术发展的 源头;纳米科技是多学科交叉融合性质的集中体现,我们已不能将纳米科技归为任何一门传统的 学科领域而现代科技的发展几乎都是在交叉和边缘领域取得创新性的突破的,在这一尺度下,充满了原始创新的机会因此,对于还比较陌生的纳米世界中尚待解释的科学问题,科学家有着极大 的好奇心和探索欲望。 5、纳米材料有哪 4 种维度?举例说明 答:零维:团簇、量子点、纳米粒子 一维:纳米线、量子线、纳米管、纳米棒

机械装备制造技术重点

一、机床传动链 a)外联系传动链联系运动源和机床执行件,使执行件得到预定速度的运动,并传递一定的动力 b)内联系传动链联系复合运动之内的各个运动分量,有严格的传动比要求,用来保证运动的轨迹 二、传动原理图的方案比较 a)欲改变螺纹导程,必须调整内联系传动链换置器官的传动比ix,但是也改变了主轴的转 速 b)欲改变主轴转速,必须调整外联系传动链的换置器官传动比iv,但同时改变了被切螺纹 的导程 c)Iv和ix分别控制主轴转速和螺纹的导程,二者各不相关 三、四条传动链性质、末端件、计算位移、位移平衡式 主运动电动机—滚刀r—r (外联系) 展成运动滚刀—工件z/k—1 (内联系) 轴向进给工作台—刀架1—f (外联系) 差动刀架—工件T—1 (内联系) 四、无级变速主传动系统 1变速电动机直流复激电动机和交流变频电动机,调速范围较小,通过调压和调磁方式进行变速 2机械无级变速利用摩擦力来传递转矩,通过连续的改变摩擦传动副工作半径来实现无级变速 3液压无级变速通过改变单位时间内输入液压缸或液动机中的液体的油量来实现无级变速 五、主轴部件的传动方式、各种传动方式的特点 a) 齿轮传动结构简单紧凑,能传递较大的转矩,能适应变转速、变载荷工作缺点:线速度不能过高 b) 带传动靠摩擦力传动,结构简单、制造容易、成本低,特别适用于中心距较大的两轴间传动。带有弹性,可吸震,传动平稳,噪声小,适宜高速传动。过载中会打滑,能起到过载保护作用。缺点:有滑动,不能用在速比要求准确的场合。 c) 电动机直接驱动方式主轴单元大大简化了结构,有效地提高了主轴部件的刚度,降低了噪声和振动;有较宽的调速范围;有较大的驱动功率和转矩;便于组织专业化生产。

用于纳米光刻的超分辨缩小成像平板超透镜研究

第38卷第5期 光电工程V ol.38, No.5 2011年5月Opto-Electronic Engineering May, 2011 文章编号:1003-501X(2011)05-0035-05 用于纳米光刻的超分辨缩小成像 平板超透镜研究 李恒一1,2,王长涛1,罗先刚1 ( 1. 中国科学院光电技术研究所微细加工光学技术国家重点实验室,成都 610209; 2. 中国科学院研究生院,北京 100049 ) 摘要:本文提出和研究了利用超分辨缩小成像平板超透镜,在i线光源波长下实现纳米尺度光刻方法。为了在超分辨透镜像面位置获得高质量的光刻图形,采用超分辨透镜-光刻胶-反射银膜的结构方式,解决由于超透镜磁场偏振传输模式带来的成像光场畸变问题,大大提高了成像质量和光场对比度。采用掩模图形结构预补偿的方法,消除超分辨透镜的倍率畸变像差影响。基于有限元电磁计算方法,数值模拟结果验证了该方法在i线光源波长下实现纳米尺度缩小成像光刻的可能性。在i线(365 nm)光源波长下,得到约35 nm线宽的高对比成像光场模拟结果,并分析了结构参数变化对成像光场带来的影响。 关键词:纳米光刻;超分辨成像;表面等离子体;超透镜 中图分类号:TN29 文献标志码:A doi:10.3969/j.issn.1003-501X.2011.05.007 Planar Hyper Lens with Demagnification for Nanolithography LI Heng-yi 1,2,WANG Chang-tao1,LUO Xian-gang 1 ( 1. Institute of Optics and Electronics, Chinese Academy of Sciences, State Key Laboratory for Optical Technologies of Microfabrication, Chengdu 610209, China; 2. Graduate University of Chinese Academy of Sciences, Beijing 100049, China ) Abstract: Nanolithography using hyperlens in planar form and i-line mercury lamp is presented. To obtain patterns with high quality at the imaging plane of hyperlens, lens-photoresist-silver layer structure is employed to avoid the blurring of electric field intensity distribution delivered by the transversal magnetic polarization features of hyperlens. Moreover,the specific design of mask is employed for compensating aberration of magnification non-uniformity of hyperlens. Numerical simulations demonstrate the ability of nanolithography by this method. About 35nm line width with high contrast is obtained in the light distribution and the geometrical parameters influence is also discussed. Key words: nanolithography; sub-wavelength resolution imaging; surface plasmons; superlens 0 引 言 由于携带亚波长结构空间信息的电磁波表现为倏逝波特性,无法在自由空间传输,传统成像光刻分辨力受到衍射极限限制。为了不断提高光刻分辨力满足微电子技术发展需求,传统成像光刻的工作波长不断缩短,从紫外i线、g线光源,发展到深紫外(248 nm、193 nm)甚至极紫外波段,由此带来了光刻成像系统复杂度和成本的不断提高。表面等离子体是金属表面自由电子和电磁波耦合共振形成的束缚在金属介质表面传输的特殊形式电磁波。表面等离子体传输波长可以远小于激发电磁波的真空波长,实现超衍射传输。 收稿日期:2010-12-08;收到修改稿日期:2011-03-01 基金项目:国家自然科学基金项目(60825405) 作者简介:李恒一(1984-),男(汉族),安徽建瓯人。硕士研究生,主要从事光学应用的研究。E-mail:sklotm@https://www.doczj.com/doc/de763572.html,。

超分辨成像技术的新发展

超分辨显微成像技术的新发展 马利红 引言 人类获得信息的主要器官是眼睛,然而靠人眼观察客观事物的空间分辨率的极限约为4 ′米,客观世界中人眼不能分辨的所有细微结构称为微观世界。显微成像技术将310- 微观过程或结构成放大图像,以便于人眼能够直接观察。研究微观世界所涉及的学科领域十分广泛,有生物、医学、材料科学、精密机械、微电子学、分子及原子物理、核物理等等,微观世界中细分的微量尺度原则上是无穷的,因而显微学是跨多学科的,其发展也是无止境的。 1665年,Robert Hooke用原始显微镜发现了池塘水中单细胞有机体,它的出现为人类打开了微观世界的大门。光学显微镜由此成为历代生物学家的主要研究工具之一。生物学家把显微镜作为一种主要工具来研究生物器官、组织和细胞,由此奠定了细胞学和组织学的基础,并对生物学、遗传学、微生物学、病理学和医学的发展起到了极大的推动作用。但传统光学显微镜有以下两个主要缺点:(1)受衍射极限的限制,其分辨率与照明波长是同一个数量级,具有一个数值孔径(NA=nsin(q))的传统光学显微镜,分辨极限l,称之为瑞利判据;(2)由于使用的是场光源,观测到的是一个宽视野图像,为0.61/NA 从而降低了信噪比,影响了图像的清晰度和分辨率。随着生物医学、材料科学等的发展对显微提出了更高的要求,不仅希望其具有更高的分辨率,而且能对样品进行无损成像,甚至希望可观察其三维图像。因此,传统的显微镜已不能满足要求。 电子显微镜的分辨率虽然远高于光学显微镜,但它需要在真空条件下工作,因此很难观察活的生物样品,另外电子束的照射也会使生物样品受到辐照损伤。电子显微镜、的局限以及高分辨显微的需求,迫使人们转向超经典衍射极限的光学超分辨理论和技术研究,利用新原理、新技术、新方法来实现光学高分辨力成像和检测。

微电子工艺技术 复习要点答案(完整版)

第四章晶圆制造 1.CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 答:1、溶硅2、引晶3、收颈4、放肩5、等径生长6、收晶。CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长)。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均匀性。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。 CZ法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶。 FZ法优点:①可重复生长,提纯单晶,单晶纯度较CZ法高。②无需坩埚、石墨托,污染少③高纯度、高电阻率、低氧、低碳④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 答:111和100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 答:在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 答:1、对晶圆A清洗并生成一定厚度的SO2层。2、注入一定的H形成富含H的薄膜。3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H脱离A和B键合。4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 7、名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 答:CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺 1. 列举IC芯片制造过程中热氧化SiO2的用途?

海洋装备制造技术现状及发展先进技术的必要性 (1)

摘要:“海洋工程装备及高技术船舶”作为国务院加快推进实施“中国制造2025”,实现制造业升级的十大领域之一大致分为以下几个方面:一是用于海洋油气和矿产资源开发的装备,二是利用海洋可再生能源的装备,三是利用海洋空间资源的装备,四是海水的淡化及利用海洋生物资源的装备,五是共性海洋基础设施。目前我国海洋产业布局已初步形成,但产品设计开发能力与国外差距较大,配套市场还被外国企业掌控。此外,我国的工程总包能力不足,且在高端海工装备设计建造领域基本还是空白。本文主要选取海洋钻井平台和高技术船舶方进行了具体的调研,并初步总结了发展规律和一些发展经验。 关键字:海洋装备高技术船舶海上钻井平台 一、调查研究的背景与意义 1、调研背景 制造业是国民经济的主体,是立国之本、兴国之器、强国之基。十八世纪中叶开启工业文明以来,世界强国的兴衰史和中华民族的奋斗史一再证明,没有强大的制造业,就没有国家和民族的强盛。打造具有国际竞争力的制造业,是我国提升综合国力、保障国家安全、建设世界强国的必由之路。 当前,新一轮科技革命和产业变革与我国加快转变经济发展方式形成历史性交汇,国际产业分工格局正在重塑。必须紧紧抓住这一重大历史机遇,按照“四个全面”战略布局要求,实施制造强国战略,加强统筹规划和前瞻部署,力争通过三个十年的努力,到新中国成立一百年时,把我国建设成为引领世界制造业发展的制造强国,为实现中华民族伟大复兴的中国梦打下坚实基础。《中国制造2025》,是我国实施制造强国战略第一个十年的行动纲领。 开发和利用海洋是我国自身发展的需要。古语云“工欲善其事,必先利其器”,要综合开发利用好海洋,就离不开装备的发展。目前大家通常所说的海洋工程装备多指油气类装备。油气类装备主要包括勘探装备、钻井装备、生产与加工装备、运输装备、海岸工程船舶装备水下装备与水下系统装备等等。从钻井装备来看,主要有海洋钻井平台等;从生产装备来讲,主要有半潜式升降平台等。此外还有海洋工程船、水下的作业设备等。谈及海洋工程装备的产业结构,从用户来看的话,则用户相对集中,其中石油公司占30%左右,钻探公司占60%左右。从海工装备的供应商来看,范围比较小,主要是工程的总承包商,如国外的一些大型承包商,国内的中海油、中石油等;此外还有装备的集成供应商,如国内的中船重工、海油工程、中远船务、中海工业等。 从当前全球海工装备建造的格局来看,欧美是第一梯队,掌握设计的核心技术,以高端海工产品为主;韩国、新加坡是第二梯队,具备工程的总承包能力,正在向深水高技术装备领域发展;我国已经具备了一定的基础条件,但产品还属于中低端,高技术是我国的薄弱环节。我国目前建造力量集中在沿海几大区域,如环渤海有海洋工程装备制造基地,长三角有一批船舶制造企业,珠三角有海洋工程装备制造基地,此外海南有海工装备制造基地,武汉有海工装备配套基地。目前产业布局已初步形成,但产品设计开发能力与国外差距较大,配套市场还被外国企业掌控。此外,目前我国的工程总包能力不足,且在高端海工装备设计建造领域基本还是空白。 总体而言,目前我国在海洋资源开发利用领域所做的工作有限,而未来市场对相关装备安全、环保方面的要求更高。这就给基础科研带来了更多的挑战,要求中国船舶行业在以下领域不断探索:新型海洋工程装备总体设计及性能分析技术,海洋工程装备总体及系统试验技术,深海设施结构动力响应及疲劳强度分析技术,深海平锚索、立管等柔性构件的动力特性分析技术,深海海洋工程安全性检测、检测与风险控制技术,深海设施长效防腐及防护技

微电子工艺技术 复习要点答案完整版

微电子工艺技术-复习要点答案) 完整版( 第四章晶圆制造法。比法和FZ1.CZ法提单晶的工艺流程。说明CZ FZ三种生长方法的优 缺点。较单晶硅锭CZ、MCZ和答:

法:使用射频或电阻加热线圈,置于慢速转动的石CZ3、收颈4、放肩5、等径生长6、收晶。 1、溶硅2、引晶。将一个慢速转动的夹具的单晶硅籽晶棒)英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均匀性。的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后50-100cm FZ法:即悬浮区融法。将一条长度把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好CZ的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶。③高纯度、高电阻率、低法高。②无需坩埚、石墨托,污染少 CZFZ法优点:①可重复生长,提纯单晶,单晶纯度较法,熔体与晶体界面复杂,很④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ氧、低碳难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀MC:改进直拉法 性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光

机械工程学科现状及发展趋势

机械工程学科现状及发展趋势 机械工程学科是研究机械系统和产品的性能、设计及制造的理论、方法和技术的科学,包括机械学和制造科学两大领域。 机械学是研究机械结构和系统性能及其设计理论与方法的科学,包括制造过程及机械系统所涉及的机构学、传动学、动力学、强度学、摩擦学、设计学、仿生机械学、微纳机械学及界面机械学等。 制造科学是研究制造过程及其系统的科学。它涵盖产品设计、成形制造(铸造成形、塑性成形、连接成形、模具制造、表面工程等)、加工制造(超精密加工、高效加工、非传统加工、复杂曲面加工、测量及仪器、装备设计及制造、表面功能结构制造、微纳制造、仿生和生物制造)和制造系统运作管理等科学。 机械工程研究是先进制造技术的不竭源泉。推动我国制造业自主发展的主要驱动力是先进制造技术,而有的领域已经在国际学术界占有了一席之地。在摩擦学领域:温诗铸、雒建斌等发现在动力润滑和边界润滑之间存在一个过渡区,提出了薄膜润滑的概念、发明了纳米薄膜测量技术、建立了薄膜润滑的物理和理论模型。薄膜润滑研究架起了动力润滑和边界润滑之间的桥梁,被国际上著名专家GRANICK等评价为“是对润滑研究的一个重要贡献”;机器人机构学领域:黄真、高峰等以螺旋理论、李群、集合论等为数学工具,提出少自由度并联机构综合的普适性方法和通用自由度计算公式,主螺旋解析识别模型,一阶和二阶运动影响系数模型,以及性能与构件尺度空间模型。利用上述理论综合出数十种新机构,开发出多维力传感器、微操作机器人、地震模拟器,并为锻造操作机、伺服压力机、电铲等装备的自主设计提供了重要的理论依据;机械传动学科领域:陈学东在高速超精密运动控制研究中,率先发现并阐明了气浮轴承气旋现象产生的机理,提出了气浮气膜的支撑刚度和阻尼的计算和控制方法,提出的驱动系统分析控制软件已经用于我国大规模集成电路光刻机驱动系统的研制中。在机械工程科学方面,虽然已经取得了瞩目的创新及进展,但必须清醒地认识到,我国机械工程科学总体上还处于落后状态。 我国工程机械行业基本上是立足于国内本土市场的行业,它在国际市场上的销售额至今也只有15%左右,这和其产品的产量与销量已是世界第一的地位形成极大的反差和讽刺,其原因是:我国工程机械产品的技术创新和盈利模式与国外优质产品仍有较大差距,我国产品的全球配套能力低、它在全球市场上的布局仍无优势,前几年我国工程机械产品高速增长只是数量上的增长,并无质量上的明显攀升,这种质量中下等的大批产品是不受国际市场欢迎的,更难在竞争激烈的国际市场上占有一席之地。虽然我国工程机械产品规模已经很大,但在国际市场上依然缺乏话语权和竞争力,因为我国工程机械产品的中低端产品多,较适合国内外的中低端市场;从产品规模上来讲,我国工程机械产品有一定的宽度,但从国际市场竞争力来讲,则需要产品的高度,即产品的优质,响亮的品牌、过硬的创新技术。概括地说,我国工程机械产品是有宽度而无高度的产品。工程机械行业是一个多品种的行业,很多企业都处于不同的生存发展阶段,而都要面对不同市场的需求和各种不同层次的用户群体,更要认真考虑它们的购买能力来开发适销对路产品,才有生存发展的机会。而在工程机械产品背后,还隐藏着许多我们不能忽视的细节和我们尚未发现的隐患,以及绝处逢生的商机,所以在当前工程机械市场低迷时,我们应该静下心来,做一番研究,冷静地思考,找出市场复苏的方法。如何提升我国工程机械产品和市场的竞争力,特别是国际市场的竞争力,

相关主题
文本预览
相关文档 最新文档