当前位置:文档之家› 基于8086交通灯课程设计说明书

基于8086交通灯课程设计说明书

基于8086交通灯课程设计说明书
基于8086交通灯课程设计说明书

武汉纺织大学

微机原理及应用

课程设计说明书

姓名学号

学院外经贸学院

班级

题目基于8086/8088的交通灯程序设计指导教师周国鹏

2010 年 6月

目录

一、需求分析 (2)

1、课题背景 (2)

2、课题训练内容 (2)

3、设备需求 (2)

4、功能需求 (2)

二、设计方案 (3)

1、基于8255A的分析 (3)

2、基于8259A的分析 (4)

3、中断分析 (4)

三、详细设计 (5)

1、信号灯显示模块 (5)

2、LED显示模块 (6)

3、中断子程序模块 (6)

4、整合所有模块 (6)

5、硬件原理及电路图 (7)

6、程序源代码 (9)

四、设计总计 (15)

五、参考资料 (16)

一、需求分析

1、课题背景

现代城市交通日益拥挤,为保证交通安全,防止交通阻塞,在城市交通井然有序,交通信号灯便出为此出现在人们眼中。十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。当前,国内大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。交通灯的时间控制显示,以固定时间值预先“固化”在单片机中,每次只是以一定周期交替变化。

.2、课题训练内容

设计一个基于8086/8088微型计算机的一个交通信号灯控制系统,要求能完成基本的交通灯控制,如红、绿、黄三种灯的定时交替点亮与熄灭;能提供行人通过时的临时信号修改;能提供当时当地的温度、湿度信息;提供基本的键盘输入。

要求学生了解8086/8088微型计算机控制系统的基本设计方法与思路,能独立查阅资料并汇总,具备一定的控制系统设计能力,掌握绘制电路原理图的能力,能编写一定难度的汇编程序并调试。

3、设备需求

①.8086系统微机一台。

②温度传感器(可自选)和湿度传感器(可自选)、信号放大器。

③ 8253定时/计数器和8255A并行接口芯片、8259A、ADC0809。

④小键盘一个,红,黄,绿,发光二极管。

⑤LED发光二极管6个。

4、功能需求

本课题设计制作一个交通信号灯实时控制系统。

①.在一个十字路口的一条主干道和一条支干到上分别装上一套红,黄,绿三种信号灯;用按键模拟十字路口的车辆检测传感器信号;用二个LED显示停靠车辆数和二个LED显示红(或)绿灯的时间。

②在一般情况下,主、支干道上的绿灯--黄灯--红灯每24秒交替变换。(红灯6s,绿灯12s,黄灯6秒)

③当检测到主干道为红灯而且干道上超过29辆车时(用按键K1模拟干道上停靠车辆),且从干道上没有车辆时,支干道的绿灯转为黄灯,持续6s后,又变为红灯,同时主干道由红灯变为绿灯。

④反之,当检测到支干道为红灯而且干道上超过29辆车时(用按键K1模拟干道上停靠车辆),支干道的绿灯转为黄灯,持续6s后,又变为红灯,同时支干道由红灯变为绿灯。

⑤当检测到特殊车辆经过时(用按键K2模拟),主从干道都变成红灯,当检测到车辆经过后(再次按下按键3),恢复原来红绿灯情况。

二、设计方案

1,基于8255A的分析。根据课题内容,在本课题系统中,微机需要检测2按键送来的主,干道车辆到达信号及特殊车辆信号,并按一定的条件发出主、支干道6个信号灯的控制信号,以及LED显示器的控制信号。根据如(图1)的8255内部结构及引脚,显然,用一片8255A 完全可以满足上述要求。可对于8255A作如下安排。PA0~PA7用来给信号灯在不同时刻发送不同状态的信号。PB0~PB7用来给LED发送LED驱动码。PC0~PC3用来发送对LED的控制信号,PC4~PC7暂时还未用到。其8255电路图如下(图2):

图1 8255内部结构及引脚

图2 8255接线图

2、基于8259A 的分析。另端口A 工作与方式0的无条件数据传输方式,用以控制交通灯的亮灭;端口B 工作与方式1的输入方式,并设置为允许中断;将端口C 的PC2,PC3接到负脉冲源上,使得每按一下按钮时分别表示支干道上有一辆车过来和主干道上有一辆车过来,而将PC0接到中断请求线上,模拟当干道上有特殊车辆来时,由中断请求线向CPU 发出中断,CPU 响应中断后发出控制信号来控制主,支干道交通灯变为状态。端口C 的低4位用作输出接口,用来控制LED 显示。

3, 有关中断技术的功能,可以采用8259外部中断来控制特殊车辆的到来和红灯路口的阻塞车辆数。再用K1、K2来模拟阻塞车辆和特殊车辆。其8259与K1、K2按键连线如下(图3):

图3 8259中断控制和K1、K2接线图

本模块主要用到以上5种硬件,各种硬件相连,组成整个系统的硬件系统。但是除了硬件系统外,还需要有软件来驱动硬件间相互配合执行,现给出其流程如下图4:

8259

KK2-

KK2+ KK1- KK1+

IRQ7 IRQ6

三、详细设计

根据本小组对本课题的分析,最后确定将本课题分为如下四个模块:

1, 信号灯显示模块:本模块分六个子程序, 其功能分别是主干道绿灯支干道红灯,主干道黄灯支干道红灯,主干道红灯分干道绿灯,主干道红灯,分干道黄灯。主支干道

都红灯,主支干道灯都灭。在程序中分别是子程序light1, light2, light3, light4, light5, light6.

2, LED 显示模块:此模块分五个子程序,其功能分别是,led 根据父程序通过ax 和数据段main 传过来的值控制4个LED 的数字显示。Led1、led2两个子程序分别通过ax 的值显示倒计时。led3,led4两个子程序分别通过main 的值和buffer 对应的驱动码显示阻塞车辆数。

3, 中断子程序模块,此模块分两个子程序,irq6用来处理K1触发的中断。此中断用来表示阻塞了一辆车,即main 加一。irq7用来处理K2触发的中断,此中断用来处理十字路口来了一辆特殊车辆,即两条路的信号灯都成红灯,倒计时暂停。其中断子程序的流程图如下图五:

图5 中断子程序流程图

4, 此模块主要实现本课题的逻辑,即通过本调用以上三个模块的子程序将现整个课题连起来,并让整个系统能连续的运行,因此也负责整个程序的时序。本模块实现了整个系统的主程序,具体流程包括两重循环,三次分支,每一重用来循环控制信号灯四个基本状态的轮流转换,第二重循环用来控制时序及LED 。第一次分支是根据每一重

循环下的每个基本状态分别显示不同的信号灯,第二个分支是,根据数据段中的main 来判断阻塞车辆是不是过多,是否需要信号灯的转换。第三个分支是根据信号灯是否黄灯时来商定要信号灯是否要闪烁。另外此模块还要负责中断的初始化,及开中断的时间。这也是本个负责的模块,其主要流程如图4和图6。

图6 中断控制图

5、硬件原理及电路图

图7 硬件原理及电路图

6、程序源代码

stack segment stack ;定义堆栈段

db 64 dup(?) ;申请64个字节的空间

stack ends

data segment ;定义数据段

buffer dw 5b6fh,5b7fh,5b07h,5b7dh,5b6dh,5b66h,

5b4fh,5b5bh,5b06h,5b3fh

dw 066fh,067fh,0607h,067dh,066dh,0666h,

064fh,065bh,0606h,063fh

dw 3f6fh,3f7fh,3f07h,3f7dh,3f6dh,3f66h,

3f4fh,3f5bh,3f06h,3f3fh ;定义从29~0的LED驱动码

main db 00h ;定义main用来存放阻塞车辆数 zhuangtai dw 00h ;用来存放交通灯的最近状态

zhongduan dw 00h ;用来存放中断时倒计时的状态data ends

code segment

assume cs:code,ds:data

start:mov ax,data ;程序开始

mov ds,ax

;中断向量初始化

push ds ;保护ds现场

mov ax,0000h

mov ds,ax ;将0至入ds

mov ax,offset irq6

add ax,2000h

mov si,0038h

mov [si],ax ;将irq6 的首地址偏移地址放入第16个中断向量字的低字中 mov ax,0000h

mov si,003ah

mov [si],ax ;将irq6 的首地址段地址放入第16个中断向量字的高字中 mov ax,offset irq7

add ax,2000h

mov si,003ch

mov [si],ax ;将irq7 的首地址偏移地址放入第16个中断向量字的低字中 mov ax,0000h

mov si,003eh

mov [si],ax ;将irq7 的首地址段地址放入第16个中断向量字的高字中 pop ds

;中断向量初始化完毕

mov al,80h

out 63h,al ;8255初始化

lea bx,buffer

mov dx,60h ;dx,si两个寄存器的值用来控制LED显示的值

again:mov cx,4

jump:mov zhuangtai,cx ;记录最近交通灯的状态

cmp cx,4

je aaaa1

cmp cx,3

je aaaa2

cmp cx,2

je aaaa3

jump aaaa4 ;根据cx确定的状态输出交通灯(二级管表示)

aaaa:mov al,0

mov main,al

push cx ;每一个新的状态将阻塞车辆数清零

;qiao

next3:mov cx,23h ;根据cx 值通过延时得出一秒的时间

mov ax,29

cmp main,al

je zongd ;阻塞车辆数大于等于30时交通灯转换状态

next2:cmp cx,25h ;根据cx的值,在黄灯时,闪烁

jae shan2

cmp cx,19h

jae shan

cmp cx,0ch

jae shan2

jump shan

aaas:push cx

call zdcsh ;中断初始化

mov ax,[bx+si]

xchg al,ah

call led ; LED显示当前倒计时数和阻塞车辆数

pop cx

loop next2

inc si

inc si

mov zhuangduan,si

cmp si,dx

jne next3 ;倒计时

zongd:pop cx

loop jump

jump again ;状态循环转换

;主程序逻辑结束

aaaa1:mov si,0 ;调用第一种信号灯状态代码,si=0表示从29开始倒计时

call light1

jump aaaa

aaaa2:mov si,54 ;调用第二种信号灯状态代码,si=54表示从2开始倒计时call light2

jump aaaa

aaaa3:mov si,0 ;调用第三种信号灯状态代码,si=0表示从29开始倒计时 call light3

jump aaaa

aaaa4:mov si,54 ;调用第四种信号灯状态代码,si=54表示从2开始倒计时 call light4

jump aaaa

shan:push ax ;黄灯时闪烁代码中的灯灭

mov ax,zhuangtai ;根据信当前状态判断是否是黄灯

cmp ax,3

je shan1 ;状态三和一是黄灯,让灯灭

cmp ax,1

je shan1

pop ax

jump aaas ;else 返回原程序

shan1:call light6 ;灯灭

pop ax

jmp aaas

shan2:push ax ;黄灯时闪烁代码中的黄灯亮

mov ax,zhuangtai ;根据信当前状态判断是否是黄灯

cmp ax,3

je shan3 ;状态三时,主干道黄灯,支干道红灯

cmp ax,1

je shan4

jmp shan5 ;状态一时,主干道红灯,支干道黄灯

shan3:call light2 ; 主干道黄灯,支干道红灯

jmp chan5

shan4:call light4 ; 主干道红灯,支干道黄灯

shan5:pop ax

jmp aaas

;中断子程序

irq6:sti ;当按键K1高电平触发时irq6时,开中断

push ax

mov al,29

cmp main,al ;阻塞车辆数小于30时

je zon

inc main ;阻塞车辆数加一

zon:pop ax

iret

irq7:sti ;当按键K2高电平触发时irq7时,开中断

push ax

push bx

push cx

call light5 ;主,支干道灯都是红灯

mov cx,00e0h ;开始延时3秒

mov bx,offset buffer

mov si,zhongduan

1111:push cx

mov ax,[bx+si]

xchg al,ah

call led ;根据中断时刻倒计时时间暂停的LED显示

pop cx

loop 1111

;中断子程序逻辑完后,返回现场

mov ax zhuangtai ;根据不同的状态信号灯返回现场

cmp ax,4

je zhuangtai1 ;判断为状态一时

cmp ax,3

je zhuangtai2 ;判断为状态二时

cmp ax,2

je zhuangtai3 ;判断为状态三时

jmp zhuangtai4 ;判断为状态四时

zhuangtai1:call light1 ;返回状态一的现场

jmp end2

zhuangtai2:call light2 ;返回状态二的现场

jmp end2

zhuangtai3:call light3 ;返回状态三的现场

jmp end2

zhuangtai4:call light4 ;返回状态四的现场

end2:pop cx ;寄存器返回现场

pop bx

pop ax

iret

;中断初始化

zdcsh proc

push ax

mov al,13h

out 20h,al ;给8259送入ICW1控制字

mov al,08h

out 21h,al ;给8259送入ICW2控制字

mov al,09h

out 21h,al ;给8259送入ICW3控制字

mov al,odh

out 21h,al ;给8259送入ICW4控制字

sti ;开中断

pop ax

ret

zdcsh endp

led proc ;控制四个LED的显示

push cx ;保护父程序环境

call led1 ;显示每一个LED

mov cx,0617h

delay1:loop delay1

mov al,ah

call led2 ;显示第二个LED

mov cx,0617h

delay2:loop delay2

call led3 ;显示第三个LED

mov cx,0617h

delay3:loop delay3

call led4 ;显示第四个LED

mov cx,0617h

delay4:loop delay4

pop cx

ret

led endp

led1:proc ;控制第一个LED的显示

push ax

mov ah,al

push ax

mov ah,al

mov al,11111110b

out 62h,al ;初始化第一个LED

mov al,ah

out 61h,al ;显示第一个LED的值(al传来的) pop ax

ret

led1 endp

led2 proc ;控制第二个LED的显示

push ax

mov ah,al

mov al,11111101b

out 62h,al ;初始化第一个LED

mov al,ah

out 61h,al ;显示第二个LED的值(al传来的) pop ax

ret

led2 endp

led3 proc ;控制第三个LED的显示

push ax

push bx

mov al 11111011h

out 62h,al ;初始化第一个LED

lea bx,buffer

mov al,29

sub al,main

add al,al

inc al

xlat ;通过main计算出阻塞车辆数的十位LED驱动码

out 61h,al ;显示第三个LED

pop bx

pop ax

ret

led3 endp

led4 proc ;控制第四个LED的显示

push ax

push bx

mov al,11110111b

out 62h,al ;初始化第一个LED

mov al,29

sub al,main

add al,al

xlat ;通过main计算出阻塞车辆数的个位LED驱动码

out 61h,al ;显示第四个LED

pop bx

pop ax

ret

;wu

light1 proc ;交通灯第一种状态下的二级管情况

push ax

mov al,01111101b ; (主干道绿灯,次干道红灯)

out 60h,al ;将要显示的灯对应的值通过PA0~7 控制灯亮

pop ax

ret

light1 endp

light2 proc ;交通灯第二种状态下的二级管情况

push ax

mov al,01111011b ; (主干道黄灯,次干道红灯)

out 60h,al ;将要显示的灯对应的值通过PA0~7 控制灯亮

pop ax

ret

light2 endp

light3 proc ;交通灯第三种状态下的二级管情况

push ax

mov al,10111110b ; (主干道红灯,次干道绿灯)

out 60h,al ;将要显示的灯对应的值通过PA0~7 控制灯亮

pop ax

ret

light3 endp

light4 proc ;交通灯第四种状态下的二级管情况

push ax

mov al,11011110b ; (主干道红灯,次干道黄灯)

out 60h,al ;将要显示的灯对应的值通过PA0~7 控制灯亮

pop ax

ret

light4 endp

light5 proc ;交通灯第五种状态下的二级管情况

push ax

mov al,01111110b ; (主干道红灯,次干道红灯)

out 60h,al ;将要显示的灯对应的值通过PA0~7 控制灯亮

pop ax

ret

light5 endp

light6 proc ;交通灯第六种状态下的二级管情况

push ax

mov al,0ffh ; (主、次干道灯全灭)

out 60h,al ;将要显示的灯对应的值通过PA0~7 控制灯亮

pop ax

ret

light6 endp

code ends

end start

四、设计总结

本次课程设计是要设计一个交通灯系统,主要功能如上已有细述。在本次对交通灯的设计过程中以此来加深对微机接口技术的理解,提高了自己的动手能力。

首先着手对硬件电路的设计,本次课程设计主要采用了8255A接口电路、8259中断控制器这两个芯片电路。由于对各个芯片不熟悉,通过课本了解到了它们的引脚及功能、工作方式、内部结构和控制字。

然后就是对程序的设计,想要设计出一个实用的控制系统需要了解程序流程,先画出了流程图,然后对代码进行编写,在编写过程中遇到了很多问题。如当初8259中断不会用,对芯片的不了解也导致编程的很多的问题,要么灯都不显示,要么灯显示不全,再要么红灯

绿灯时间分配不合理,又比如最初写出的中断程序时只能发生一次中断,实现无法记录车辆信息;再比如在实在特殊车辆经过的irq7中断子程序时不知怎么回到原环环境等等。最后经过一段时间的研究,查阅了很多资料并和同学讨论后终于一一解决。

经过为期一个星期的课程设计,我获益颇多。将微机接口技术中的理论与实践相结合起来,对芯片的功能也有了进一步认识理解。最后希望通过以后的学习,不断提升自身各方面的能力,如对专业知识的掌握程度,动手实践能力等。经过此次的课程设计,我们学会了合作。我们要形成自己的设计思想,以便在今后的专业课形成自己的风格。同时在多多锻炼自己的动手能力,以便在以后的工作能独立完成一些设计项目。

五、参考资料

1、郑学监周斌微型计算机原理及应用(第三版)北京:清华大学出版社2001

2、朱定华. 微机原理、汇编与接口技术. 北京:清华大学出版社 2005年

3、周明德. 微机原理与接口技术实验指导与习题集. 北京:人民邮电出版社. 2002年

5、王忠民等. 微型计算机原理. 西安:西安电子科技大学出版社. 2003年7月

6、洪永强. 微机原理与接口技术. 北京:科学出版社. 2004年

7、电子线路CAD实用教程潘永雄等编著陕西:西安电子科技大学出版社,2006

微机原理-交通灯课程设计报告

微型计算机原理及应用课程设计说明书 交通灯控制系统设计 班级:1401班 姓名: 学号: 指导教师: 日期:2016年6月

一.课程设计目的: 在车辆日渐增多的今天,人们也越来越关注交通问题,而交通灯在安全行车过程中无疑起着十分重要的作用。现在交通灯一般都设在十字路口,用红、绿、黄三种颜色的指示灯和一个倒计时的显示计时器来控制行车, 对一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点: 1、车道轮流放行时间相对固定, 不能根据实际情况中两个车道的车辆多少来设置改变通行时间;2、没有考虑紧急车辆通过时, 两车道应采取的措施。譬如, 有消防车通过执行紧急任务时, 两个车道的车都应停止, 让紧急车辆通过。因此如何合理高效地利用交通灯指示交通情况,是一个亟需解决的问题。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义 二.课程设计内容: 设有一个十字路口,1、3为南北方向,2、4为东西方向。初始为四个路口的红灯全亮,之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车;延时一段时间后,1、3路口的绿灯开始闪烁,闪烁若干次以后,1、3 路口黄灯亮,后1、3路口红灯亮,而同时2、4路口的绿灯亮,2、4路口方向通车;延时一段时间后,2、4 路口的绿灯熄灭,而绿灯开始闪烁,闪烁若干次以后,1、3路口红灯亮,而2、4路口黄灯亮,再切换到1、3路口方向,之后重复上述过程。 三.问题分析及硬件介绍: 本次课程设计的内容为利用8086、8255等接口,实现控制十二个二极管亮灭的过程。需要PC机一台,8255并口:用做接口芯片。LED:共12个LED灯。还有8086芯片,8253a定时器等器材. 将8086和74273、74154和8255连接起来.需用到8255的六个输出端口。所以要求8255工作在方式0,因为二极管是共阳的,所以输出低电平二极管才会亮。8086用作cpu,三个74273是锁存器,锁存地址。 用软件proteus7.8画出电路图,加载程序到8086cpu,进行模拟。 系统硬件设计

中南大学微机课程设计报告交通灯课案

微机课程设计报告

目录 一、需求分析 1、系统设计的意义 (3) 2、设计内容 (3) 3、设计目的 (3) 4、设计要求 (3) 5、系统功能 (4) 二、总体设计 1、交通灯工作过程 (4) 三、设计仿真图、设计流程图 1、系统仿真图 (5) 2、流程图 (6) 3、8253、8255A结构及功能 (8) 四、系统程序分析 (10) 五、总结与体会 (13) 六、参考文献 (13)

一、需求分析 1系统设计的意义: 随着社会经济的发展,城市问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据检测、交通信号灯控制与交通疏通的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 随着城市机动车量的不断增加,组多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速通道,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速通道,缓解主干道与匝道、城市同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通灯的控制方式很多,本系统采用可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现本系统的各种功能。同时,本系统实用性强,操作简单。 2、设计内容 采用8255A设计交通灯控制的接口方案,根据设计的方案搭建电路,画出程序流程图,并编写程序进行调试 3、设计目的 综合运用《微机原理与应用》课程知识,利用集成电路设计实现一些中小规模电子电路或者完成一定功能的程序,以复习巩固课堂所学的理论知识,提高程序设计能力及实现系统、绘制系统电路图的能力,为实际应用奠定一定的基础。针对此次课程设计主要是运用本课程的理论知识进行交通灯控制分析及设计,掌握8255A方式0的使用与编程方法,通从而复习巩固了课堂所学的理论知识,提高了对所学知识的综合应用能力。 4、设计要求: (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机接口;

PLC交通灯课程设计

目录 第一章摘要............................................................................ - 2 - 第二章简述PLC ......................................................................... - 3 - 2.1 PLC简介.............................................................................. - 3 - 2.2 PLC工作原理 ...................................................................... - 4 - 2.3 PLC主要功能 ...................................................................... - 6 - 第三章PLC的交通信号灯系统设计.......................................... - 7 - 3.2 流程图如下:.................................................................... - 8 - 3.3程序梯形图设计: ............................................................. - 9 - 第四章总结.............................................................................. - 12 - 4.1程序调试........................................................................... - 12 - 4.2 收获和体会...................................................................... - 12 -

交通灯课程设计说明书

华北水利水电学院 院系:机械学院 专业:机械设计制造及其自动化 班级: 59班 学号: 200905918 姓名:杨亚坤 指导老师:雷冀南 2012年5月11日

目录 目录 (2) 2、设计要求 (3) 二、交通管理的方案论证 (3) 三、总体方案设计 (4) 1.系统框图 (4) 2.工作原理 (4) 2.1、正常模式 (4) 3.计时控制方案 (6) 4.显示控制方案 (6) 四、芯片的选择与简介 (6) 3. 80C51单片机的外接晶体引脚 (7) 4. 80C51单片机的控制线 (7) 5. 80C51单片机复位方式 (7) 五系统电路设计 (8) 1.电路原理图 (8) 3.单片机最小系统 (9) 4.显示部分 (10) 6.主程序模块 (10) 7.显示程序模块 (11) 七、结论 (11) 八、参考文献 (12) 附录2:电路原理图 (18) 一、课程设计任务书及计划书

1 、设计目的及任务 《单片机应用基础》课程设计是学好本门课程的又一重要实践性教学环节,课程设计的目的就是配合本课程的教学和平时实验,以达到巩固消化课程的内容,进一步加强综合应用能力及单片机应用系统开发和设计能力的训练,是以培养学生综合运用所学知识的过程,是知识转化为能力和能力转化为工程素质的重要阶段。 课程设计任务:根据给定的模拟交通灯控制任务要求选择合适的单片机和其他电子元器件,进行系统硬件电路设计和软件编程,根据系统制作并调试系统电路板,使之实现任务要求。 2、设计要求 (1)运用所学的知识设计一个交通灯控制系统。 (2)上电的时候南北方向的红灯亮30秒;东西方向的绿灯亮30秒,黄灯秒闪5秒。再接下来东西方向的红灯亮30秒;南北方向的绿灯亮30秒,黄灯秒闪5秒。如此循环。 (3)各种信号灯所亮的时间由数码管显示出来。 (4)外接4个控制按钮,分别实现紧急模式、夜间模式、主干道绿灯支道红灯模式、管理模式。 二、交通管理的方案论证 东西、南北两干道交于一个十字路口,各干道有一组红、黄、绿三色的指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换,且黄灯燃亮时间为东西、南北两干道的公共停车时间。设南北道比东西道的车流量大,指示灯燃亮的方案如表2。 表2说明:

课程设计实验报告 交通灯控制功能设计

《微机原理与接口技术》课程设计实验报告 题目:交通灯控制功能设计 指导老师: 专业:信息科学与工程学院 班级:自动化0807班 日期:2011-1-5 微机课程设计——交通灯控制系统

目录 一、设计思想和实施方案论述,硬件原理图及分析 1.1、课程设计名称 1.2、课程设计要求 1.3、课程设计目的 二、设计思想和实施方案论述,硬件原理图及分析 2.1、设计思想和实施方案 2.2、硬件原理图 三、典型模块以及典型编程技巧分析 3.1、8086典型模块分析 3.2、编程技巧分析 四、设计中遇到的问题及解决方法 五、程序清单和程序注释,相关流程图 5.1程序清单和注释 5.2、实验室及流程图 六、收获与体会 七、参考文献

2 微机课程设计——交通灯控制系统 一、设计课程名称及要求 1.1、课程设计名称:交通灯控制功能设计。 1.2、课程设计要求: (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机接口; (3)、程序功能要求:小键盘给定、数码管(屏幕)显示; (4)、同时具备急救车应急响应功能和时间倒计时显示功能。 、课程设计目的: 1.3《微机应用系统设计与综合实验(实践)》课程设计是自动化专业本科生必修的一门技术基础课。通过本课程设计,让学生对微机系统有一个较全面的理解,对典型数字接口电路的应用技术有一个较深入的掌握,并对应用系统进行硬件原理和软件编程进行分析、设计和调试,达到基本掌握简单微型计算机应用系统软硬件的设计方法,提高项目开发能力的目的。要求同学独立完成课题,写出课程设计说明书,画出电路原理图,说明工作原理,画出电路印制板图,编写设计程序及程序流程图。 二、设计思想和实施方案论述,硬件原理图及分析 2.1、设计思想和实施方案: 本设计使用了两种方案,一种是采用8086和8255A可编程并行接口实现了交通灯的设计,分别对主干道和支干道显示红灯和绿灯并且计时,采用8254定时器/计数器产生1HZ的脉冲,来控制8259产生中断,从而实现整个电路的设计。交通灯采用红绿两种发光二极管,主干道亮45s,支干道亮30s,计数的最后5s 中绿灯闪烁,用数码管倒计时显示时间,在发生紧急情况时,可以认为用开关控制主干道和支干道红灯均亮,禁止任何车通行。另一种方案是采用单片机来实现的,达到的效果和上述方案相同。单片机采用定时器T0和T1来触发中断,根据中断优先级的不同,从而可以处理不同的情况,交通灯也是采用红绿两种发光二极管,主干道亮45s,支干道亮30s,计数的最后5s中绿灯闪烁,用数码管倒计时显示时间,在发生紧急情况时,可以认为用开关控制主干道和支干道红灯均亮,禁止任何车通行,在故障清除后,断开开关可以使红绿灯和数码管回到原来的状态继续正常工作。 2.2、硬件原理图: (a)图是基于8086的设计,(b)图是基于单片机的设计。在(a)图中,可编程并行接口芯片8255A用作输出口,控制红绿灯的亮暗和数码管的计时,定时器/计数器8254采用级联的方式产生1HZ的脉冲,并将此方波接到中断器8259的IR1上,即每秒钟让中断控制器产生依次中断,从而可以执行中断子程序。在(b)图中,P0口用于接数码管,P1口用于控制红绿灯的亮暗,P2口用于选通数码管,P32为定时器T0的控制端,当P32口为高电平时,定时器T0才会工作,并且T0的中断优先级高于T1,所以可以用于控制紧急情况。P37口接扬声器,3

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

单片机课程设计 基于8255A的交通灯

目录 第一章引言 (1) 1.1设计目的 (1) 1.2 设计背景 (1) 1.3 设计要求 (2) 第二章系统硬件设计 (3) 2.1设计方案 (3) 2.2工作原理 (3) 2.3 硬件介绍 (4) 2.3.1 MSC-51芯片简介 (4) 2.3.2 8255A芯片 (5) 第三章系统软件设计 (7) 3.1 时间及信号灯的显示 (7) 3.2 延时设计 (8) 3.3 程序流程图 (9) 3.4 程序源代码 (10) 第四章系统调试结果 (15) 4.1 测试结果 (15) 小结 (16) 参考文献 (17)

第一章引言 1.1设计目的 1、通过交通信号灯控制系统的设计,掌握8255A并行口传输数据的方法,以控制发光二极管的亮与灭; 2、用8255作为输出口,控制十二个发光二极管熄灭,模拟交通灯管理. 3、通过单片机课程设计,熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力; 4、完成控制系统的硬件设计、软件设计、仿真调试。 1.2 设计背景 十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。当前,国内大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。在交通灯的通行与禁止时间控制显示中,通常要么东西、南北两方向各50秒;要么根据交通规律,东西方向60秒,南北方向40秒,时间控制都是固定的。交通灯的时间控制显示,以固定时间值预先“固化”在单片机中,每次只是以一定周期交替变化。但是,实际上不同时刻的车辆流通状况是十分复杂的,是高度非线性的、随机的,还经常受认为因素的影响。采用定时控制经常造成道路有效应用时间的浪费,出现绿灯方向车辆较少,红灯方向车辆积压。它不顾当前道路上交通车辆数的实际情况变化,其最大的缺陷就在于当路况发生变化时,不能满足司机与路人的实际需要,轻者造成时间上的浪费,重者直接导致交通堵塞,导致城市交通效率的下降。目前,有一种使用“模糊控制”技术控制交通灯的方法。能够根据十字路口两个方向上车辆动态状况,自动判断红绿灯时间间隔,以保证最大车流量,减少道口的交通堵塞。但是却不像定时控制,能用数字显示器显示当前灯色剩余时间,以便于驾驶员随时掌握自己的驾驶动作,及时停车或启动。

单片机交通灯课程设计报告(含电路图,源程序)

1.引言................................................................. 错误!未定义书签。 2.总体设计方案 (2) 2.1. 设计思路 (2) 2.1.1.设计目的 (2) 2.1.2.设计任务和内容 (3) 2.1.3.方案比较、设计与论证 (3) 2.1.4.芯片简介 (6) 2.2. 设计方框图 (12) 3.设计原理分析 (13) 3.1. 交通灯显示时序的理论分析与计算 (13) 3.2. 交通灯显示时间的理论分析与计算 (15) 3.3. 电路模块 (16) 3.3.1.LED数码管显示模块 (16) 3.3.2.LED红绿灯显示模块 (19) 3.3.3.复位电路 (22) 3.3.4.晶振电路 (23) 4.结束语 (23)

6.附录 (24) 6.1. 附录1:程序清单 (24) 6.2. 附录2:电路设计总图 (32) 6.3附录3:实物图 ....................................... 错误!未定义书签。1.总体设计方案 1.1.设计思路 1.1.1.设计目的 (1)加强对单片机和汇编语言的认识,充分掌握和理解设计各部分的工作原理、设计过程、选择芯片器件、模块化编程等多项知 识。 (2)用单片机模拟实现具体应用,使个人设计能够真正使用。(3)把理论知识与实践相结合,充分发挥个人能力,并在实践中锻炼。 (4)提高利用已学知识分析和解决问题的能力。 (5)提高实践动手能力。

1.1. 2.设计任务和内容 1.1. 2.1.设计任务 单片机采用用AT89S52芯片,使用发光二极管(红,黄,绿)代表各个路口的交通灯,用8段数码管对转换时间进行倒时(东西路口15秒,南北路口25秒,黄灯时间5秒)。 1.1. 2.2.设计内容 (1)设计并绘制硬件电路图 (2)制作PCB并焊接好元器件 (3)编写程序并将调试好的程序固化到单片机中 1.1.3.方案比较、设计与论证 1.1.3.1.电源提供方案 为使模块稳定工作,须有可靠电源,采用单片机控制模块提供电源。此方案的优点是系统简明扼要,节约成本;缺点是输出功率不高。综上所述,我们选择第二种方案。 1.1.3. 2.复位方案 复位方式有两种:按键复位与软件复位。由考虑到程序的简洁,避免冗长,本设计采用按键复位,在芯片的复位端口外接复位电路,通过按键对单片机输入一个高电平脉冲,达到复位的目的。

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵与秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目就是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流与努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道与支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计内容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3、1 总原理 (2) 3、2 控制电路 (3) 3、3 时钟产生电路 (3) 3、4 显示电路 (4) 3、5 器件 (5) 3、5、1可预置的十进制同步计数器74LS160 (5) 3、5、2 3 线-8 线译码器74LS138 (5) 3、5、3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3、5、4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4、1 通电前检查 (7) 4、2 通电检查 (7) 4、2、1 555电路模块的检查 (7) 4、2、2 CD4511的检查 (7) 4、2、3 74LS192的检查 (8) 4、2、4 控制电路及相关门电路的检查 (8) 4、2、5 发光二极管的检查 (9) 4、3 结果分析 (9) 5 设计总结 (10) 5、1 体会 (10) 5、2 设计电路的特点与方案的优缺点 (11) 5、3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ.................................................................. 错误!未定义书签。

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

交通灯单片机课程设计

1 序言 交通灯的形成 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两色旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。我们设计的单片机控制交通灯就是基于信号灯。 技术指示 设计一个十字路口(方向为东西南北四个方向)的交通灯控制电路,每条道路上各配有一组红、黄、绿交通信号灯,其中红灯亮,表示该道路禁止通行;黄灯亮表示

单片机课程设计基于8255A的交通灯

` 目录 第一章引言 (1) 1.1设计目的 (1) 1.2 设计背景 (1) 1.3 设计要求 (2) 第二章系统硬件设计 (3) 2.1设计方案 (3) 2.2工作原理 (3) 2.3 硬件介绍 (4) 2.3.1 MSC-51芯片简介 (4) 2.3.2 8255A芯片 (5) 第三章系统软件设计 (7) 3.1 时间及信号灯的显示 (7) 3.2 延时设计 (8) 3.3 程序流程图 (9) 3.4 程序源代码 (10) 第四章系统调试结果 (15) 4.1 测试结果 (15) 小结 (16) 参考文献 (17)

第一章引言 1.1设计目的 1、通过交通信号灯控制系统的设计,掌握8255A并行口传输数据的方法,以控制发光二极管的亮与灭; 2、用8255作为输出口,控制十二个发光二极管熄灭,模拟交通灯管理. 3、通过单片机课程设计,熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力; 4、完成控制系统的硬件设计、软件设计、仿真调试。 1.2 设计背景 十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。当前,国大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。在交通灯的通行与禁止时间控制显示中,通常要么东西、南北两方向各50秒;要么根据交通规律,东西方向60秒,南北方向40秒,时间控制都是固定的。交通灯的时间控制显示,以固定时间值预先“固化”在单片机中,每次只是以一定周期交替变化。但是,实际上不同时刻的车辆流通状况是十分复杂的,是高度非线性的、随机的,还经常受认为因素的影响。采用定时控制经常造成道路有效应用时间的浪费,出现绿灯方向车辆较少,红灯方向车辆积压。它不顾当前道路上交通车辆数的实际情况变化,其最大的缺陷就在于当路况发生变化时,不能满足司机与路人的实际需要,轻者造成时间上的浪费,重者直接导致交通堵塞,导致城市交通效率的下降。目前,有一种使用“模糊控制”技术控制交通灯的方法。能够根据十字路口两个方向上车辆动态状况,自动判断红绿灯时间间隔,以保证最大车流量,减少道口的交通堵塞。但是却不像定时控制,能用数字显示器显示当前灯色剩余时间,以便于驾驶员随时掌握自己的驾驶动作,及时停车或启动。

交通灯课程设计讲解

设计题目:基于单片机的模拟交通灯控制院系:电气工程系 专业:城轨电子1班 年级: 2012级 姓名:凌洁 指导教师:黎松奇 西南交通大学峨眉校区 年月日

课程设计任务书 专业城轨电子本姓名凌洁学号 20128085 开题日期:2014年 11 月 17 日完成日期: 2014年12月17日题目基于单片机的模拟交通灯控制 一、设计的目的 本次设计的智能交通灯系统采用Proteus设计与仿真,程序的编译与调试采用Keil C51来实现。单片机原理、模拟和数字电路等方面的知识,基于Protues软件设计出一台交通信号灯,模拟路口交通信号。 二、设计的内容及要求 要求用51单片机设计一个智能交通灯控制系统,使其能模仿城市十字路口交通灯的功能,并对满足特殊的控制要求。该系统的具体功能要求如下: 该控制系统能控制东西南北四个路口的红黄绿灯正常工作。东西和南北方向分时准行和禁行。 1)交通信号灯能够控制东西、南北两个方向的交通,红绿黄灯用对应颜色的发光二极管代替; 2)用四个2位数码管分别显示东、南、西、北方向的通行时间,东西或南北通行时间为25秒,红绿灯切换中间黄灯亮5秒。 三、指导教师评语 四、成绩 指导教师 (签章) 年月日

目录 一、引言 (4) 二、设计方案与思路简述 (4) 1、内容简述: (4) 2、设计思路 (5) 三、单元电路设计 (7) 1.数码显示管与LED灯模块 (7) 2、 C51引脚图 (7) 3、时钟电路 (8) 4 、复位电路 (8) 四、总体设计 (9) 1. AT89C51芯片简介 (9) 2、原理框图: (12) 3、详细设计 (13) 4、软件框图 (20) 四、程序及注释 (21) 1、解释状态 (21) 2、附录清单 (22) 附录1:程序清单 (22) 五、调试运行 (27) 六、涉及资料及参考文献 (28) 七、心得体会 (28)

基于8086的交通灯控制系统课程实验设计报告

鸡西大学课程设计实验报告题目:基于8086的交通灯控制系统课程设计院系:电气与信息工程系 班级:09机电一体化(4)班 姓名:王晓刚 学号:04040904004 指导老师:窦岩 (2010.12.9)

目录 一、设计要求。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 二、设计目的。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 三、设计的具体实现。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 (一)系统概述。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 (二)单元电路设计。。。。。。。。。。。。。。。。。。。。。。。。5 (三)软件程序设计。。。。。。。。。。。。。。。。。。。。。。。。9 四、结论与愿望。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。15 五、心得体会及建议。。。。。。。。。。。。。。。。。。。。。。。。。。。16 六、附录。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。17 七、参考文献。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。18 1

交通灯设计报告 一、设计要求 1、完成系统总体方案设计; 2、设计控制算法; 3、设计系统接线图; 4、完成控制软件的编写; 5、编写课程设计说明书。 二、设计目的 1、了解交通灯管理的基本工作原理 2、熟悉8259A中断控制器的工作原理和应用编程 3、熟悉8255A并行接口的各种工作方式和应用 4、熟悉8253计数器/定时器的工作方式及应用编程,掌握利用软硬件相结合定时的方法。 5、掌握多位LED显示问题的解决。 三、设计的具体实现 (一)系统概述 系统要求实现正常时序控制,启动开关接通时,交通信号按设定的时序工作,并且各个方向的红、黄、绿灯接通时间倒计时显示,东西方向和南北方向的绿灯接通时间可以由外部设定。所以设计中使用了8259A中断控制器、8254计数器、8255可编程并行接口实现了,对南北、东西方向交通的分别计时、分别控制,设计采用定时加中断控制的方式进行,对两个方向车辆的通行时间分别计时,可随意进行更改双向的通行时间。 城市十字交叉路口红绿灯控制系统主要负责控制东西走向和南北走向的红绿灯的状态和转换顺序,关键是各个状态之间的转换和进行适当的时间延时,正是基于以上考虑,采用如下设计: 1.东西南北两个方向起始状态全为红,延续3秒。 2.东西方向红灯亮,南北方向绿灯亮,延续45秒。 3.东西方向红灯亮,南北方向绿灯以频率1HZ闪烁3秒。 4.东西方向红灯亮,南北方向黄灯亮,延续3秒。 2

基于51单片机的智能交通灯课程设计

目录 摘要 (1) 1 系统硬件设计 (2) 1.1 80C51单片机引脚图及引脚功能介绍 (2) 1.2 74LS245引脚图及功能 (4) 1.3 八段LED数码管 (5) 1.4 硬件系统总控制电路 (6) 1.5各模块控制电路 (8) 1.5.1 交通灯控制电路 (8) 1.5.2 倒计时显示电路 (9) 1.5.3 紧急通行电路 (12) 1.5.4 声音警示装置 (13) 2 系统程序设计 (14) 2.1 主程序流程图 (14) 2.2 显示子程序流程图 (15) 3 心得体会 (16) 参考文献 (17) 附录源程序 (18)

摘要 近年来随着科技的飞速发展,一个以微电子技术、计算机技术和通信技术为先导的信息革命正在蓬勃发展。计算机技术作为三者之一,怎样与实际应用更有效的结合并发挥其作用。单片机作为计算机技术的一个分支,正在不断的应用到实际生活中,同时带动传统控制检测的更新。在实时检测和自动控制的应用系统中,单片机往往是作为一个核心部件使用,针对具体应用对象的特点,配以其它器件来加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现交通的井然秩序呢?靠的是交通信号灯的自动指挥系统,来实现交通的井然有序。交通信号灯控制方式很多。本系统采用美国ATMEL公司生产的单片机AT80S51,以及其它芯片来设计交通灯控制。实现了通过AT89S51芯片的P1口设置红、绿灯点亮的功能,输出设置显示时间。交通灯的点亮采用发光二极管实现,时间的显示采用七段数码管实现。单片机系统采用的直流供电。 关键词:AT89S51单片机;智能交通灯控制系统;

基于某8086的交通灯设计

《微型计算机原理与应用》 课程设计报告 班级 学生 联系 学号 完成日期 2013.12.30 指导老师

目录 一、概述 1. 题目 2.需求分析 3. 设计要求 二、设计过程 1. 设计过程简单分析 2. 硬件原理 3.8255芯片资料三、程序设计 1.流程图 2.程序代码 四、总结 附录

一、概述 1、题目:基于8086的交通灯设计 2、需求分析: 随着电子技术的发展,计算机在现代科学技术的发展中起着越来越重要的作用。多媒体技术、网络技术、智能信息处理技术、自适用控制技术、数据挖掘与处理技术等都离不开计算机。本课程设计是基于微机原理与接口技术的简单应用。运用所学的微机原理和接口技术知识完成交通灯系统。通过硬件与软件的结合,用我们刚刚学过的汇编语言编写程序模拟分析了现代城市交通控制与管理问题的现状,结合交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的交通灯控制系统的硬件、软件电路设计方案。该系统适用于单主干道的十字路口。现假定其主干道为东西方向,次干道为南北方向。 3、设计要求 这次课程设计的题目是交通灯控制器的设计与实现,主要是模拟十字路口的红绿灯,如图1-1所示。 交通灯控制器的设计与实现主要是通过编写汇编语言程序利用8255A的A 口灯的亮与灭进行控制。首先,要了解的是8255A是如何工作的,包括它的A 口、B口、C口和控制端口是如何写数据的,还包括芯片的初始化等。由于只有16个发光二极管,所以还得弄清楚是每个发光二极管所代表的灯的颜色及方向。最后要在实验室通过一个软件进行调试,调试通过后即可看到设计的结果。 要求: 图1-1 十字路口交通灯

相关主题
文本预览
相关文档 最新文档