当前位置:文档之家› 时序逻辑电路

时序逻辑电路

数字逻辑基础

LOGO

时序逻辑电路

--概念

在数字电路理论中,时序逻辑电路是指电路任何时刻的稳态输出不仅取决于当前的输入,还与前一时刻输入形成的状态有关。

这跟组合逻辑电路不同,组合逻辑的输出只会跟目前的输入成一种函数关系。

时序逻辑电路

--时序逻辑电路类型

同步时序逻辑电路

?同步时序电路中所有存储元件都在时钟CLK 的统一控制下,用触发器作为存储元件。

?几乎现在所有的时序逻辑都是同步逻辑。

?由于只有一个时钟信号,只在时钟的边沿改变内部所有的状态。?在时序逻辑中最基本的储存元件是触发器。

?同步逻辑最主要的优点是它很简单。

?每一个电路里的运算必须要在时钟的两个脉冲之间固定的间隔内完成,称为一个时钟周期。只有满足这个条件时,才能保证电路是可靠的。

时序逻辑电路

--时序逻辑电路类型

同步逻辑也有两个主要的缺点:

?时钟信号必须要分布到电路上的每一个触发器。而时钟通常都是高频率的信号,这会导致功率的消耗,也就是产生热量。即使每个触发器没有做任何的事情,也会消耗少量的能量。

?最大的可能时钟频率是由电路中最慢的逻辑路径决定,也就是关键路径。意思就是说每个逻辑的运算,从最简单的到最复杂的,都要在每一个时脉的周期中完成。

思考:关键路径的含义。

时序逻辑电路

--时序逻辑电路类型

异步时序逻辑电路

?异步时序逻辑是时序逻辑的普遍本质,但是由于它的弹性关系它也是设计上困难度最高的。

?最基本的储存元件是锁存器。锁存器可以在任何时间改变它的状态,依照其他的锁存器信号的变动,他们新的状态就会被产生出来。

?异步电路的复杂度随着逻辑门的增加,而复杂性也快速的增加?因此他们大部分仅仅使用在小的应用。然而,电脑辅助设计工具渐渐的可以简化这些工作,允许更复杂的设计。

时序逻辑电路

--时序逻辑电路类型

?由于时序逻辑电路有“记忆”信息的功能,因此它可以用来保存数字系统的工作状态。

?在任何一个时刻,保存在内部存储器件的二进制数定义了一个数字系统的当前状态。

?输入到数字系统的逻辑信号可能引起一个或者多个存储器件的状态发生改变。因此,引起数字系统的状态发生改变。

时序逻辑电路特点

时序逻辑电路

--时序逻辑电路类型

在数字系统中,主要关心两状态或者双稳态电路。

?双稳态电路有两个稳定的工作状态,一个状态是输出逻辑‘1’(或者VDD ),另一个是输出逻辑‘0’(或者GND )。

?当双稳态存储电路处于这两个状态中的一个状态时,需要外界施加能量,使其从一种状态变化到另一种状态。在两个状态跳变期间,输出信号必须移动通过不稳定状态区域。

因此,将存储电路设计成不允许在不稳定区域内无限停留。一旦它们进入不稳定状态,它们立即尝试重新进入两个稳定状态中的一个。

时序逻辑电路

--时序逻辑电路类型

一个处于不稳定区域的存储器件,称为亚稳态。所有的存储器件苦于进入亚稳定状态。

思考:这张图给你的启示?

--时序逻辑电路类型

一个静态存储电路要求反馈,任何带有反馈的电路是存储器。

?如果输出信号简单的反馈,并且连接到输入,则称为带有反馈的逻辑电路。

?大多数的反馈电路,输出要不就是‘1’或者‘0’,要不就是永无停止的振荡。

?一些反馈电路是双稳态的和可控的,这些电路可作为存储电路的备选电路。

--时序逻辑电路类型

思考:分析这些电路的特点和结果?

基本SR 锁存器

--电路结构

锁存器的真值表注:表中Q 0表示前一个Q 的输出,

基本SR 锁存器

--电路原理

仔细观察上图,虽然基本SR 锁存器还是由基本的逻辑门组成,但是和前面的组合逻辑电路最大的不同点是,锁存器增加了输出到基本逻辑门的“反馈”,而前面的组合逻辑电路并不存在输出到输入的“反馈”。

这个反馈的重要作用表明在:有反馈的逻辑电路中,当前时刻逻辑电路的状态,是由当前时刻逻辑电路的输入和前一时刻逻辑电路的输出状态共同确定。

基本SR 锁存器

--

测试电路和测试结果分析

思考与练习:分析基本SR 锁存器的设计结果

保存在e:\eda_verilog\RS_latch.ms14

同步SR 锁存器

--电路结构

CLK S R Q Q 状态

0╳╳Q 0Q 保持

100Q 0Q 保持

10101复位

11010置位

11111不期望

同步SR 锁存器的真值表

同步SR 锁存器

--电路原理

从图中,可以得到下面的分析结果:

?当CLK 为逻辑低时,R 和S 连接到前端与非门的输入不会送到基本RS 锁存器中,此时后面基本RS 锁存器的输入为高。所以,同步SR 锁存器处于保持状态。

?当CLK 为逻辑高时,R 和S 的输入端通过前面的与非门逻辑,送入到后面的基本SR 锁存器中,其分析方法和前面基本SR 锁存器相同。

?根据前面的分析,CLK 控制逻辑拥有最高优先级,即:当CLK 为逻辑低的时候,不管R 和S 的输入逻辑处于何种状态,同步SR 锁存器都将处于保持状态。

同步SR 锁存器

--

测试电路

思考与练习:分析同步SR 锁存器的设计结果

保存在e:\eda_verilog\RS_latch_clk.ms14

D 锁存器

--电路结构

为了避免在SR 锁存器中所出现的不允许的状态,确保S 和R 总是处于相反的逻辑值。在先前的同步SR 锁存器前面添加反相器,这样的结构叫做D 锁存器。

D

CLK Q Q 状态0

101复位1

110置位×0Q 0Q 保持

D 锁存器真值表

D 锁存器

--测试电路

思考与练习:分析D 锁存器的设计结果

保存在

e:\eda_verilog\D_latch.ms14

D锁存器

--SN74LS373专用IC符号和原理

D 锁存器

--SN74LS373测试电路

保存在e:\eda_verilog\D_latch_IC.ms14

思考与练习:分析SN74LS373的设计结果

时序逻辑电路练习题

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D触发器的D端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。20.单稳态触发器在外加触发信号作用下能够由状态翻转到状态。21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲宽度,则占空比应为_______。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

时序逻辑电路设计

引言 人类社会进步,各种仪器测试设备的以电子设备代替成为趋势,各类测试仪器都希望通过电子设备来实现。电子设备在实现相应参数的测量时,具有简单容易操作,而且数据便于计算机处理等优点。目前科技的飞速进展与集成电路的发展应用,有密不可分的关系。十九世纪工业革命主要以机器节省人力,二十世纪的工业的革命则主要以电脑为人脑分劳。而电脑的发展归于集成电路工业。 集成电路是将各种电路器件集成于半导体表面而形成的电路。近年来集成电路几乎成为所有电子产品的心脏。由于集成电路微小化的趋向,使电子产品得以“轻、薄、短、小”。故集成电路工业又称微电子工业。差不多在同时数字计算机的发展提供了应用晶体管的庞大潜在市场。 20世纪90年代以后,电子科学和技术取得了飞速的发展,其标志就是电子计算机的普及和大规模集成电路的广泛应用。在这种情况下,传统的关于数字电路的内容也随之起了很大的变化,在数字电路领域EDA工具已经相当成熟,无论是电路内容结构设计还是电路系统设计,以前的手工设计都被计算机辅助设计或自动设计所取代。 通过长期的学习微电子专业理论知识,我们应该多动手实践把理论知识与实践相结合,加强对理论知识的把握。本文是十进制同步计数器的设计,对十进制同步计数器的设计进行电路原理图设计以及仿真,版图设计,版图验证。 1 设计技术要求 (1)项目名称:十进制同步计数器的设计 (2)使用工艺:2.0um硅栅工艺(tanner)或者1.0um硅栅工艺(cadence) (3)供电电源:5V (4)输入要求:异步清除,CMOS电平 (5)进行原理图设计,并完成电路的仿真 (6)版图设计,完成LVS一致性检验,生成相应的GDSII文档 2 设计构思及理论 2.1 设计思路 十进制同步计数器的设计可以细化成下列步骤: ①建立最简原始状态图。 ②确定触发器级数,进行状态编码。 ③用状态装换卡诺图化简,求状态方程和输出方程。 ④查自启动特性。 ⑤确定触发类型,求驱动方程。 ⑥画逻辑图。

同步时序逻辑电路的习题 数字逻辑

第五章 同步时序逻辑电路的习题 一、基本知识点 1、时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、包含反馈电路,电路功能与“时序”相关 c 、输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1)Mealy 型 Z =F (X ,Q ) 输出是电路的输入和现态的函数(注意输出与输入有直接关系) (2)Moore 型 Z =F (Q ) 输出仅仅是电路现态的函数(注意输出与输入没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制,输入信号的变化将直接引起电路状态的变化。 //本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用3组函数表达式完整地描述。 (1)激励函数表达式:存储电路输入Y 与电路输入X 和现态Q 之间的关系 Y =F (X ,Q ) //现态Q 就是上图存储电路原始的输出y k (2)次态函数表达式:电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1=F (Y ,Q ) //次态Q n+1就是上图存储电路再次触发后的输出y k n+1 (3)输出函数表达式:电路的输出Z 和输入X 和当前现态Q 的关系 Mealy 型 Z =F (X ,Q ) Moore 型 Z =F (Q ) 输入信号 输出信号 X 1 X 2 X n Z 1 Z 2 Z m y s 过去输入 现态 现在输入 } 输出 输出 所有输入 现态

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

第3、5章 组合电路和时序电路(总复习)

【总复习卷】 第3、5章组合逻辑电路和时序逻辑电路在数字电路系统中,按照逻辑功能和电路特点,各种数字集成电路可分为组合逻辑电路和时序逻辑电路两大类。 【知识结构图】 【本章重点】 第3章、组合逻辑电路 1.组合逻辑电路在电路结构及逻辑功能上的特点。 2.编码器和译码器的电路设计。 3.各类编码及译码器逻辑功能介绍。 4.集成编码器及译码器使用。 第5章、时序逻辑电路 1.时序逻辑电路在电路结构及编逻辑功能上的特点。 2.各类寄存器寄存数码的原理。 3.二进制和非二进制计数器工作原理及波形图。 4.简单异步二进制计数器的设计。 5.常用中大规模计数器的使用。 【本章难点】1.编码器、译码器真值表的写法。 2.同步计数器计数状态的分析。 【本章考点】1.组合逻辑电路和时序逻辑电路的各自的特点。 2.编码器和译码器电路设计及工作原理分析。 3.寄存器寄存数码的工作过程(波形)。 4.各种类型计数器的计数状态表、状态转换图、工作波形图。

综合训练(第3、5章) 一、填空题 1. 在数字电路系统中,按照逻辑功能和电路特点,各种数字集成电路可分为_________逻辑电路和_________逻辑电路两大类。 2. 把0和1按一定规律编排,使每组代码具有一个特定的含义的过程,称为_________。把代码的 特定含义翻译出来的过程称为_________。 3. ________常用于接收、暂存、传递数码等。存放n位二进制数码需要______个触发器。 4. 能实现_________操作的电路称为计数器;按计数时各触发器状态转换与计数脉冲是否同步。可 分为_________计数器和_________计数器。_________进制计数器是各种计数器基础。 5. 一个四位二进制减法计数器状态为_________时,再输入一个计数脉冲,计数状态为1111,并向高 位发出__________信号。 6. 要把y0、y1.......y11、y12十三个信号编成二进制代码.至少需要_________位二进制数码。7. 构成计数器的基本电路是__________,如果把n个这类基本电路串联起来,就可以表示 __________位二进制数。 二、判断题(对的打“√”,错的打“×”) 1.组合逻辑电路具有记忆功能。( ) 2.编码是译码的逆过程。( ) 3.移位寄存器每输入一个脉动时,不一定只有一个触发器翻转。( ) 4.译码时每次只有一个输出端输出有效,即该输出端为1,其余为0。( ) 5.移位寄存器即可并行输出也可以串行输出。() 6.数码寄存器存放的数码可以并行输入也可以串行输入。() 7.数码寄存器最简单的寄存器,这种寄存器称为并行输入,并行输出数码寄存器。() 8.右移位寄存器存放的数码将从低位到高位,依次串行输入。() 9.时序逻辑电路结构上的特点是:由门电路和触发器组成。() 10.具有8个触发器的二进制异步计数器能表达256种状态。() 11.表示一位十进制数至少需要二位二进制数。() 12.构成一位十进制计数器至少需要4个触发器。() 13.在异步计数器中,若按自然顺序计数,则要求最低位触发器每输入一个计数脉冲其状态就翻转一次。() 14.显示器属于时序逻辑电路类型。() 15.触发器属于最简单的时序逻辑电路。() 16.八位二进制数能表十进制数的最大值是256。() 17.按8421BCD码进行计数的十进制计数器1010-1111这六种状态不允许出现。( ) 18.构成计数器电路的器件必须有具有记忆能力的。()

时序逻辑电路(

第六章时序逻辑电路 内容提要 【熟悉】触发器四种电路结构及动作特点,四种逻辑功能及其逻辑关系、逻辑符号,逻辑功能的四种描述方法 【掌握】时序电路的特点和一般分析方法 【熟悉】寄存器的功能、分类及使用方法, 双向移位寄存器的级联【掌握】计数器的功能和分类,级联法、置位法构成N进制计数器【掌握】555定时器构成三种电路的工作特点、连接方法及主要参数一.一.网上导学 二.二.典型例题 三.三.本章小结 四.四.习题答案 网上导学 §6.1时序逻辑电路的特点 时序逻辑电路的特点:任意时刻的输出不仅取决于该时刻的输入,而 且还和电路原来的状态有关,所以时序电路具有记 忆功能。 在第五章中,向大家介绍了组合电路。 组合电路的特点是其任意时刻的输出状态仅取决于该时刻的输入状态。 2.时序电路逻辑功能描述方法 在上面给出的时序电路结构框图中,包括组合逻辑电路和具有记忆功能的存储电路。 输出变量y1,y2,y3。。。。y b,合称输出矢量Y(t)。 输入变量x1,x2,x3。。。。x a,合称输入矢量X(t)。 同样,存储电路的输入、输出称之为矢量P(t)和矢量Q(t)

按照结构图,我们可以列出三组方程:设tn+1,tn分别为相邻的两个离散的时间瞬间。 矢量Y(tn)是X(tn),Q(tn)的函数,称输出方程。 矢量P(tn)是X(tn),Q(tn)的函数,称驱动方程。 矢量Q(tn+1)是P(tn),Q(tn)的函数,称状态方程。 本节问答题 1.1.什么叫组合逻辑电路? 2.2.什么叫时序逻辑电路? 3.3.它们在逻辑功能和电路结构上各有什么特点? 4.4.在时序电路中,时间量tn+1,tn各是怎样定义的?描述时序电路功能需要几个方程,它们各表示什么含义? §6.2触发器 在这一节中,向大家介绍一种最基本的存储电路触发器(flip-flop)。触发器具有以下基本特点: (1)具有两个稳定的(0和1)状态,能存储一位二进制信息; (2)根据不同的输入,可将输出置成0或1状态; (3)当输入信号消失后,被置成的状态能保存下来。 6.2.1 基本RS触发器 一.电路结构及逻辑符号 在本书第三章里,我们讲了各种门电路,若把两个反相器按照a 图的形式连接起来,可以看出,A点和B点信号是反相的,而A点和C点始终保持同一电平。这样,可以把A,C视为同一点(下面的b 图和c图)。在C图中,A,B两点始终反相,而且电路状态稳定,在没有外界干扰或者触发的状态下,电路能够保持稳定的输出。(这一

时序逻辑电路

时序逻辑电路 时序逻辑电路简称时序电路,与组合逻辑电路并驾齐驱,是数字电路两大重要分支之一。本章首先介绍时序逻辑电路的基本概念、特点及时序逻辑电路的一般分析方法。然后重点讨论典型时序逻辑部件计数器和寄存器的工作原理、逻辑功能、集成芯片及其使用方法及典型应用。最后简要介绍同步时序逻辑电路的设计方法。 1 时序逻辑电路的基本概念 一.时序逻辑电路的结构及特点 时序逻辑电路——电路任何一个时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关。 时序电路中必须含有具有记忆能力的存储器件。存储器件的种类很多,如触发器、延迟线、磁性器件等,但最常用的是触发器。 由触发器作存储器件的时序电路的基本结构框图如图6.1.1所示,一般来说,它由组和电路和触发器两部分组成。 二. 时序逻辑电路的分类 按照电路状态转换情况不同,时序电路分为同步时序电路和异步时序电路两大类。 按照电路中输出变量是否和输入变量直接相关,时序电路又分为米里(Mealy )型电路和莫尔(Moore )型电路。米里型电路的外部输出Z 既与触发器的状态Q n 有关,又与外部输入X 有关。而莫尔型电路的外部输出Z 仅与触发器的状态Q n 有关,而与外部输入X 无关。 6.2 时序逻辑电路的一般分析方法 1X i X Z 1Z j ê?è?D?o?D?o? ê?3? ·¢?÷ ′¥·¢?D?o? ê?3?D?o? í?6.1.1 ê±Dò???-μ??·?òí?

一. 分析时序逻辑电路的一般步骤 1.根据给定的时序电路图写出下列各逻辑方程式: (1)各触发器的时钟方程。 (2)时序电路的输出方程。 (3)各触发器的驱动方程。 2.将驱动方程代入相应触发器的特性方程,求得各触发器的次态方程,也就是时序逻辑电路的状态方程。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态图或时序图。 4.根据电路的状态表或状态图说明给定时序逻辑电路的逻辑功能。 下面举例说明时序逻辑电路的具体分析方法。 二.同步时序逻辑电路的分析举例 例6.2.1:试分析图6.2.2所示的时序逻辑电路 图6.2.2 例6.2.1的逻辑电路图 解:由于图6.2.2为同步时序逻辑电路,图中的两个触发器都接至同一个时钟脉冲源CP ,所以各触发器的时钟方程可以不写。 (1)写出输出方程: n n Q Q X Z 01)(?⊕= (6.1.5) (2)写出驱动方程: n Q X J 10⊕= 10=K (6.1.6a ) n Q X J 01⊕= 11=K (6.1.6b ) (3)写出JK 触发器的特性方程n n n Q K Q J Q +=+1,然后将各驱动方程代入JK 触发器的特性方程,得各触发器的次态方程: n n n n n Q Q X Q K Q J Q 0100001 0)(⊕=+=+ (6.1.7a ) n n n n n Q Q X Q K Q J Q 10111111 )(?⊕=+=+ (6.1.7b ) (4)作状态转换表及状态图 由于输入控制信号X 可取1,也可取0,所以分两种情况列状态转换表和画状态图。 ①当X =0时。 将X =0代入输出方程(6.1.5)和触发器的次态方程(6.1.7),则输出方程简化为: n n Q Q Z 01=;触发器的次态方程简化为:n n n Q Q Q 011 =+ ,n n n Q Q Q 1011=+。 设电路的现态为0001=n n Q Q ,依次代入上述触发器的次态方程和输出方程中进行计算,得到电路的状态转换表如表6.2.1所示。 根据表6.2.1所示的状态转换表可得状态转换图如图6.2.3所示。 CP X Z

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

时序逻辑电路题

《时序逻辑电路》练习题及答案 [5.1] 分析图P5.1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P5.1 [解] 驱动方程:311Q K J ==, 状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; 122Q K J ==, n n n n n n n Q Q Q Q Q Q Q 1221211 2 ⊕=+=+; 33213Q K Q Q J ==,, n n n n Q Q Q Q 1231 3 =+; 输出方程:3Q Y = 由状态方程可得状态转换表,如表5.1所示;由状态转换表可得状态转换图,如图A5.1所示。电路可以自启动。 表5.1 图A5.1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [5.2] 试分析图P5.2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图P5.2

[解] 驱动方程:21Q A D =, 212Q Q A D = 状态方程:n n Q A Q 21 1 =+, )(12211 2 n n n n n Q Q A Q Q A Q +==+ 输出方程:21Q Q A Y = 表5.2 由状态方程可得状态转换表,如表5.2所示;由状态转换表 可得状态转换图,如图A5.2所示。 电路的逻辑功能是:判断A 是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A5.2 [5.3] 试分析图P5.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。 图P5.3 [解] 321Q Q J =,11=K ; 12Q J =,312Q Q K =; 23213Q K Q Q J ==, =+1 1 n Q 32Q Q ·1Q ; 211 2Q Q Q n =++231Q Q Q ; 323211 3 Q Q Q Q Q Q n +=+ Y = 32Q Q 电路的状态转换图如图A5.3所示,电路能够自启动。 图A5.3 [5.4] 分析图P5.4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A 为输入变量。

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

时序逻辑电路

课程名称:数字逻辑电路设计实践实验名称:组合逻辑电路设计

时序逻辑电路 1、 实验目的 1. 掌握时序逻辑电路的一般设计过程; 2. 掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3. 掌握时序逻辑电路的基本调试方法; 4. 熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 2、 实验原理 详见书103~147 3、 实验内容 1. 广告流水灯 a. 实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED 组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 1 写出设计过程,画出设计的逻辑电路图,按图搭接电路。 1)状态转换图: 现态 次态 Q2(n) Q1(n) Q0(n) Q2(n+1) Q1(n+1) Q0(n+1) 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 2)建立卡诺图: 001 010 100 011 101 110 000 111 1!1 210n n n Q Q Q +++ 有上表得: Q 0n 1=Q 0 n 0 1 00 01 11 10 2 n Q 10n n Q Q

Q 1n 1=Q 0n ⊕Q 1 n Q 2n 1=Q 0n Q 1n ⊕Q 2n =Q 0n Q 1n ⊕Q 2 n 因此,需要三个D 触发器来实现时序电路,三个D 触发器分别对应Q0、Q1、Q2 通过一片74LS138 3-8线译码器将Q2Q1Q0所对应的二进制码输出转化为相应的0~7号LED 灯的输入电平。 2 将单脉冲加到系统时钟端,静态验证实验电路。 3 将TTL 连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉 冲CLK 、触发器的输出端Q2、Q1、Q0和8个LED 上的波形。 b . 实验数据 ① 设计电路。 U1A 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4U2A 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4 U3B 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4U4A 74ALS86N U5B 74ALS86N U6A 74LS04N U7A 74LS00N VCC 5V 1 45 78U9 74LS138N Y015Y114Y213Y312Y411Y510Y69Y7 7 A 1 B 2 C 3G16~G2A 4~G2B 5 6 23 VCC VCC 5V VCC LED ② 静态验证 (自拟表格) 将3-8译码器的15Y ~0Y 输出端,从左到右依次接测试箱上的8个LED 灯80~L L ,3个D 触发器共同接箱上经消抖处理的当脉冲信号(上升沿触发)。依次按动单脉冲按钮,得以下结果。见表1. 表1.广告流水灯静态验证结果 次序 L8 L7 L6 L5 L4 L3 L2 L1 1 暗 亮 亮 亮 亮 亮 亮 亮 2 亮 暗 亮 亮 亮 亮 亮 亮 3 亮 亮 暗 亮 亮 亮 亮 亮 4 亮 亮 亮 暗 亮 亮 亮 亮 5 亮 亮 亮 亮 暗 亮 亮 亮 6 亮 亮 亮 亮 亮 暗 亮 亮

同步时序逻辑电路分析与设计

“电工学(二)数字逻辑电路”课程实验报告 实验/实训项目同步时序逻辑电路分析与设计 实验/实训地点 实验/实训小组 实验/实训时间 专业电器工程及其自动化 班级 姓名 学号 指导老师

过程、步骤、代一、实验原理 1. 集成计数器74LS290功能测试。 74LS290是二一五一十进制异步计数器,逻辑简图为图5.1所示。 74LS290具有下述功能: 直接置0(R 0(1),R 0(2)=1),直接置(S 0(1),S 0(2)=1) 二进制计数(CP 1输入Q A 输出) 五进制计数(CP 1输入Q A Q B Q C 输出) 十进制计数(两种接法如图5.2A 、B 所示) 按芯片引脚图分别测试上述功能,并填入表5.1、表5.2、表5.3中。 图5.1 74LS290逻辑图

图5.2 十进制计数器 2. 计数器级连 分别用2片74LS290计数器级连成二一五混合进制、十进制计数器。 (1)画出连线电路图。 (2)按图接线,并将输出端接到LED 数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。 (3)画出四位十进制计数器连接图并总结多级计数级连规律。 3. 任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法),可用74LS290组成任意(M )计数器,图5.3是用74LS290实现模7计数器的两种方案,图(A )采用复位法,即计到M 异步置0,图(B )采用置位法,即计数计到M-1异步置0。 表5.1 功能表 R 0(1) R 0(2) S 0(1) S 0(2) 输出 Q D Q G Q B Q A H H L X H H X L X X H H X L X L L X X L X L L X 表5.2 二一五混合时制 计数 输出 Q A Q D Q G Q B 0 1 2 3 4 5 6 7 8 9

电子技术基础复习题-时序逻辑电路(1)

《电子技术基础》复习题 时序逻辑电路 一、填空题: 1.具有“置0”、“置1”、“保持”和“计数功能”的触发器是() 2.触发器有门电路构成,但它不同门电路功能,主要特点是:() 型触发器的直接置0端Rd、置1端Sd的正确用法是() 4.按触发方式双稳态触发器分为:() 5.时序电路可以由()组成 6.时序电路输出状态的改变() 7.通常寄存器应具有()功能 8.通常计数器应具有()功能 9. M进制计数器的状态转换的特点是设初态后,每来()个CP时,计数器又重回初态。 10.欲构成能记最大十进制数为999的计数器,至少需要()个双稳触发器。 11. 同步时序逻辑电路中所有触发器的时钟端应()。 二、选择题: 1.计数器在电路组成上的特点是() a)有CP输入端,无数码输入端 b) 有CP输入端和数码输入端 c) 无CP输入端,有数码输 入端 2.按各触发器的状态转换与CP的关系分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 3. 按计数器的状态变换的规律分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 4 按计数器的进位制分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 5. n位二进制加法计数器有()个状态,最大计数值是()。 a)2n-1 b)2n c)2n-1 6.分析时序逻辑电路的状态表,可知它是一只()。 (a) 二进制计数器(b)六进制计数(c) 五进制计数器 7. 分析如图所示计数器的波形图,可知它是一只()。 (a) 六进制计数器(b) 七进制计数器(c) 八进制计数器

实验二 时序逻辑电路的设计[1]

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221-≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

时序逻辑电路习题解答解读

自我测验题 1.图T4.1所示为由或非门构成的基本SR锁存器,输入S、R的约束条件是。A.SR=0B.SR=1C.S+R=0D.S+R=1 Q G 22 Q R S 图T4.1图T4.2 2.图T4.2所示为由与非门组成的基本SR锁存器,为使锁存器处于“置1”状态, 其R S?应为。 A.R S?=.R S?=10D.R S?=11 3.SR锁存器电路如图T4.3所示,已知X 、Y波形,判断Q的波形应为A、B、C、D 中的。假定锁存器的初始状态为0。 X Y X Y A B C D 不定 不定 (a)(b) 图T4.3 4.有一T触发器,在T=1时,加上时钟脉冲,则触发器。 A.保持原态B.置0C.置1D.翻转 5.假设JK触发器的现态Q n=0,要求Q n+1=0,则应使。 A.J=×,K=0B.J=0,K=×C.J=1,K=×D.J=K=1 6.电路如图T4.6所示。实现A Q Q n n+ = +1的电路是。

A A A A A . B . C . D . 图T4.6 7.电路如图T4.7所示。实现n n Q Q =+1的电路是 。 CP CP CP A . B . C . D . 图T4.7 8.电路如图T4.8所示。输出端Q 所得波形的频率为CP 信号二分频的电路为。 1 A . B . C . D . 图T4.8 9.将D 触发器改造成T T Q 图T4.9 A .或非门 B .与非门 C .异或门 D .同或门 10.触发器异步输入端的作用是。 A .清0 B .置1 C .接收时钟脉冲 D .清0或置1 11.米里型时序逻辑电路的输出是。 A .只与输入有关

第13章_组合逻辑电路和时序逻辑电路习题答案

习题13 13-1分析如习题13-47图所示电路的逻辑功能。 图13-47 习题13-1图 解:Array + = Y+ AB ABC AC 该电路功能为三人表决电路, A具有否决权。 13-2分析如习题13-48图所示电路的逻辑功能。 图13-48 习题13-2图

解: B A C B C A Y ++= 从真值表中可以看出此电路实现的功能是:检测三个输入是否全相同。 13-3 已知一个组合逻辑电路的输入A ,B 和输出Y 的波形如图13-49所示,写出Y 的逻辑表达式,用与非门实现该组合逻辑电路。 图13-49 习题13-3图 解: B A B A B A B A Y ?=+= A B Y

13-4由两个或非门组成的基本RS 触发器及S ,R 端的波形如图13-50所示,请画出Q 端和Q 端的波形。 图13-50 习题13-4图 解: S R 状态不定 状态不定 Q Q 13-5 JK 触发器的逻辑图及输入波形如图13-51,请画出输出端Q 的波形。

图13-51 习题13-5图 解: Q J CP K 13-6 判断下列说法是否正确: (1) 仅有触发器构成的逻辑电路一定是时序逻辑电路。 (2) 仅有门电路构成的逻辑电路一定是组合逻辑电路。 (3) 计数器是执行连续加1操作的逻辑电路。 (4) n 个触发器可以组成存放2n 位二进制代码的寄存器。 (5) 左移移位寄存器是将所存储的数码逐位向触发器的高位移。 (6) 左移移位寄存器的串行输入端应按照先高位后低位的顺序输入代码。 答:(1)对;(2)错;(3)错;(4)错;(5)错;(6)错。 13-7 由四位双向移位寄存器74LS194构成的电路如图13-52所示,设初态为0000,请列出状态转换表。

相关主题
文本预览
相关文档 最新文档