当前位置:文档之家› 四路抢答器设计报告

四路抢答器设计报告

四路抢答器设计报告
四路抢答器设计报告

数字电子技术课程设计报告设计课题:抢答器的设计

专业班级:电气本1203班

学生姓名:梁杰尚

指导教师:郭秀梅崔金花

设计时间:2014.6.30--2014.7.11

抢答器的设计

设计者:梁杰尚

指导教师:郭秀梅崔金花

摘要

随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易以及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大地兴趣。而在竞赛中往往分为几组参加,这是针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,倒是有声响提示;对于抢答,要判定哪组先按键,为了公正,就要有一种逻辑电路抢答器作为裁判员。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。

数字抢答器有主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛组的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示功能。抢答开始后,若有选手安东强大按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

关键词

智力抢答,数字抢答器,锁存器

引言

基于数字电子技术的设计创新和产品创新看起来似乎永无止境,而且它们也的的确确在方方面面日益完善和丰富着我们每个人的日常生活和工作。随着科技的进步和社会的发展,现代电子产品设计越来越注重产品的易使用型,人机界面一定要良好。声音、图象等作为人类交往的最重要手段,也被体现在电子产品设计中。采用一颗语音芯片,让产品开口说话,可以起到强化宣传品牌、指导用户使用、故障紧急提示、娱乐等功能,使产品设计新颖实用、先声夺人、出奇制胜。

1 设计任务与要求

1.1 设计任务

设计一台可供4名选手参加比赛的智力竞赛抢答器。

1.2设计要求

1) 四组参赛者进行抢答,当抢答组按下抢答按钮时,抢答器能准确的判

出抢答者。

2)抢答器应具有互锁功能,即某组抢答后能自动封锁其他各组进行抢答。

3)抢答器应具有限时功能,即限制抢答时间、答题时间等,要求显示时间数据。

4)系统具有一个总的复位开关。

2 方案设计与论证

整个电路框图主要分为两部分,一个是计时部分,一个是抢答电路,其中抢答器电路由,编码器电路,RS触发电路,译码器电路,数码管显示电路组成,封锁电路由三个或门组成,其作用是只让先按下的有效,而后按下的无效,主持人按钮用来将电路复位,并将输出清零和编码电路解除封锁,译码电路用来译出编码,数码显示部分用来显示按下的选手号码,556定时电路用来定答题的时间

方案一、采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。

方案二、采用JK触发器和译码器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现

3 单元电路设计与参数计算

3.1 抢答器控制电路

抢答器控制电路是抢答器设计的关键,它要完成以下功能:

(1)当参赛选手按动抢答键时,灯泡发光,并显示相应的组号,抢答电路封锁和定时电路开始工作。

(2)当设定的答题时间到,即灯泡第一次熄灭表示时间到,答题人不准再答题。

3.2 编码器电路的设计

编码器采样74LS148作为编码芯片,将输入的信号进行编码,然后输出2二进制码,输出接到74279上,A0 ,A1 ,A2 均分别接到74279的S上,当输出均为高时表示无选手按下,S为无效电平,RS触发器输出为低,即无编码输出。

74LS148芯片及真值表如下:

3.3 定时电路

由555定时器产生时间脉冲,参赛选手按动抢答键时,即出现正脉冲,定时时间15S,当第一次出现低电平时,表示答题时间到,然后主持人即可按复位。

它基本原理是,由于电容C的两端的电压不能突变,定时器的2端电压低触发端

为低电平,输出端3为高电平。电源经过R

1、R

2

给电容C充电,当电容的电压充到电源

电压的2/3时,555内部的MOS管导通,输出为低电平。接着电容通过R

2

和已经导通的MOS管放电,当电容的两端电压下降到低于1/3的电源电压时,MOS管截止电容放

电停止,此时电源通过R

1、R

2

再次向电容充电,如此反复,形成震荡,从而在3端得到

时钟脉冲源输出。

3.4 复位电路

JK边沿触发器。将两个的输出端通过异或非门作为输入,送到JK触发器的时钟信号端CLK,因为如果计时器一旦输出“00”,异或非门的输出刚好为1,而触发器的CLK从0到1,接收到一次上升沿的时钟信号,因而JK触发器此时Q输出为1,再把Q送到两个芯片的MR端,此时芯片就不再处于计数状态,因而可以做出来到“00”停止计时的效果。

3.5 译码器电路和数码管显示电路的设计

抢答部分和倒计时部分的译码器均采用74LS48芯片,而数码管则选择与之相对应的7段共阴数码管搭配,为避免电流过小,可在译码器与数码管间接上拉电阻以增大电流,上拉电阻选用1k的9针排阻。译码器电路和数码管显示电路设计如下:

4 总原理图及元器件清单4.1 总原理图

4.2 元件清单

5 安装与调试

先按照设计图各个单元电路进行仿真,并对各个电路的性能及波形进行测试,发现电路的缺点和不足之处,例如74LS190的借位输出端0RC端虽然在倒计时到0时有低电平出现,但是时间只有半个周期,无法与信号与非,故不能用来和锁存触发器,必须用max\min代替。当调试好各个单元电路时,对各个电路进行连接组装,连接好后对电路总的性能进行调试,看各部分的功能能达到要求。

本电路在连接后,经测试,各部分的功能均能实现,显示正确

6 性能测试与分析

先按照设计图各个单元电路进行仿真,并对各个电路的性能及波形进行测试,发现电路的缺点和不足之处,例如74LS190的借位输出端0RC端虽然在倒计时到0时有低电平出现,但是时间只有半个周期,无法与信号与非,故不能用来和锁存触发器,必须用max\min代替。当调试好各个单元电路时,对各个电路进行连接组装,连接好后对电路总的性能进行调试,看各部分的功能能达到要求。

本电路在连接后,经测试,各部分的功能均能实现,显示正确

7 结论与心得

电子课程设计是本学期中唯一的一门课程设计,我们理所当然的要认真对待,本次设计我选择的是数字智力竞赛抢答器的设计,这个课题用到了数字电路方面的知识,通过这次课程设计,使我对与非门以及集成电路有了一定的了解,对课本上的知识有了近一步的掌握。

完成本次课程设计的过程,是一个从无到有的过程,因为以前没有过类似的课程设计,所以起初不知该从何下手,后来仔细阅读设计的题目和要求,阅读设计指导书,再到图书馆和网上查找资料,总算是有点眉目了。

知道了如何下手,后面的工作就容易一些了,万事开头难啊,和同学们在一起,不明

白的地方可以随时问,互相帮助,完成课程设计,这样的一段经历,或许是我在完成课程设计的同时,收获的一份财富。

埋头苦干的过程是苦涩的,在书山中查找资料的过程是疲倦的,但当课程设计完成时,那感觉是甜蜜的,没有耕耘,哪来得收获的喜悦,不懂付出怎么能知道回报的快乐,一分耕耘一分收获,有付出才会有回报,就在这样的痛与快乐的交换中,我学到了知识,学到了道理,学到了做人的道理。

8 参考文献

[1]阎石.数字电子技术基础.北京:高等教育出版社,1989.

[2]张乃国.电子测量.北京:人民邮电出版社,1985.

[3]彭介华.电子技术课程设计指导.北京:高等教育出版社,1997.

[4]华容茂.电工、电子技术实习与课程设计.北京:电子工业出版社,2000.

The design of the buzzer

Designers:Liang Jieshang

Tutor: Guo Xiu mei Cui Jin hua

Abstract:With the development of China's economic and cultural undertakings, in many occasions require rapid fair competition award, such as securities, stock trading and various quiz, etc. In modern social life, the quiz is as a kind of lively education forms and methods can cause the audience greatly interested. And often in the competition is divided into several groups to participate in, and this is an issue for the host, each group generally must carry on the facing and vies to answer first, for facing the general time limitation, there was beeping sounds; For vies to answer first to determine which group button first, for the sake of justice, there must be a logic circuit buzzer as a referee. This paper introduces a kind of digital circuit implementation of vies to answer first system, have very strong practicability.

Digital vies to answer first device consists of the main circuit and extension circuit. Priority coding circuit, latch, decoder circuit will be competing teams of the input signal on the display output; Start alarm circuit with the control circuit and the host switch, the above two parts the main circuit. Vies to answer first instrument data latch and display function. Vies to answer first began, if a player Anton strong button, the serial number immediately latch, and digital tube display on the contestant's serial number, the speaker voice prompt is given at the same time; At the same time block input circuit, forbid other contestant vies to answer first. First vies to answer first contestant's serial number to maintain continuously to the director the system reset.

Keywords:Intelligence vies to answer first, digital responder, latch

Preface:Based on the design of digital electronic technology innovation and product innovation seems to be endless, and they also do more perfect in all aspects and enrich our everyone's daily life and work. With the progress of science and technology and the development of the society, the modern electronic product design is more and more attention to product easy to use, must good human-machine interface. Sounds, images, etc as a most important means of human communication is also reflected in the electronic product design. Using a voice chip, let the product speak, can strengthen the propaganda brand, guide users to use, fault emergency tips, entertainment, and other functions, make the product design is novel and practical, synthetic-aperture, surprise.

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

电子产品设计之四路抢答器的设计

《电子产品设计》 设计报告 设计时间: 2010年11月 班级: 09网络工程4班姓名: 报告页数: 7页

广东工业大学课程设计报告 设计题目四路抢答器的设计 学院计算机学院专业 09网络工程班 4班 学号姓名 (合作者____号____)成绩评定_______ 教师签名_______

一、设计任务和要求 1.设计任务 (1)设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器鸣响。选手抢答时,数码显示选手组号,同时蜂鸣器鸣响,倒计时停止。 (2)分组: A负责抢答控制电路和定时电路。 B负责第一信号鉴别电路和核心控制电路。 2.设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时(15秒)抢答的功能。 (4)当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。参赛选手在设定时间(15秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)用石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 二、总体方案选择 本设计电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经3线8段译码器将数字显示在显示器上同时产生音响。系统原理框图如下: 图1 四人智力竞赛抢答器框图

八路抢答器实训报告

八 路 抢 答 器 实 训 报 告 姓名:张伟康 学号:1401020044 班级:电信方向

一报告要求 根据实验原理和实验器材设计所需要的电路模型,完成实验步骤和实验过程,得出结论。 1、焊接所注意的事项: (1)设计的方法和原理。焊接一般分四步骤进行: 准备焊接:清洁被焊元件处的积尘及油污,再将被焊元器件周围的元器左右掰一掰,让电烙铁可以触到被焊元器件的焊锡处,以免烙铁头伸向焊接处时烫坏其他元器件。焊接新的元器件时,应对元器件引线镀锡。 加热焊接:将沾有少许焊锡和松香的电烙铁头接触被焊元器件约几秒钟。若是要拆下硬刷板上的元器件,则待烙铁头加热后,用手或镊子轻松拉动元器件,看是否可以取下。 清理焊接面:若所焊部位焊锡过多,可以将烙铁头上的焊锡甩掉(注意不要烫伤皮肤,也不要甩到硬刷电路板上)用光烙锡头“沾”些焊锡出来。若焊点焊锡过少,不圆滑时,可以用电烙铁“蘸”些焊锡对点进行补焊。 检查焊点:看焊点是否圆润、光亮、牢固,是否与周围元器件连焊的现象。(2)造成焊接质量不高的常见原因是: 焊锡用量过多,形成焊点的锡堆积;焊锡过少,不足以包裹焊点。 冷焊。焊接时烙铁温度过低或加热时间不足,焊锡未完全融化、侵润、焊锡表面不光亮(不光滑),有细小裂纹(如同豆腐渣一样) 夹松香焊接,焊锡与元器件或印刷板之间夹着一层松香,造成电接不良。若夹杂加热不足的松香,则焊点下有一层黄褐色松香膜,若加热温度不高,则焊点下有一层碳化松香黑色膜。对于有加热不足的松香膜的情况。可以用烙铁进行补焊。对于已形成黑膜的,则要“吃”进焊锡,清洁被焊元器件或印刷板表面,重新进行焊接才行。 焊锡连桥:指焊锡量过多,造成元器件的焊点之间短路。对于超小元器件及细小印刷电路板进行焊接时要尤为注意。 焊剂过量:焊点明围松香残渣过多,当少量松香残留时,可以用电烙铁再轻轻加热一下,让松香挥发掉,也可以用蘸有无水酒精的棉球,擦去多余的松香或焊剂。 焊接表面的焊锡形成尖锐的突尖,这是由于加热温度不足或焊剂过少,以及烙铁离开焊点时角度不大造成的。 (3)电路的焊接 用电烙铁与焊锡丝将各个元器件焊接在电路板上:a左手拿焊锡丝,右手拿电烙铁。b把电烙铁以45度左右夹角与焊盘接触,加热焊盘。c待焊盘达到温度时,同样从与焊板成45度左右夹角方向送焊锡丝。d待焊锡丝熔化一定量时,迅速撤离焊锡丝。e最后撤离电烙铁,撤离时沿铜丝竖直向上或沿与电路板的夹角45度角方向。在焊接的过程中,我们应该注意:焊接的时间不能太久,大概心里默数1,2即可,然后再撤离焊锡丝,再撤离电烙铁,在撤离电烙铁时,也一样心里默数1、2即可;焊锡要适量,少了可能虚焊。在焊的过程中,出现虚焊或则焊接不好,要把焊锡焊掉,重新再焊。在吧焊锡焊掉的过程中,左手拿这吸锡器,右手拿着电烙铁,先把电烙铁以45度左右夹角与焊盘接触,加热焊锡,再将吸锡器靠近焊锡,按下吸锡器的按钮,就可以吧焊锡焊掉,重复多次,就可清除焊盘上的焊锡,注意不要将焊盘加热太久,以免把焊盘的铜给焊掉。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

潍坊学院四路抢答器实习报告

潍坊学院四路抢答器实习报告 电子技术课程设计说明书 电子技术课程设计说明书题目: 四路抢答器系部: 信息与控制工程学院 专业: 测控技术与仪器班级: 2012级二班学生姓名: 窦颜颜学号: 12031240018 指导教师: 李增喜 2014年 5月 28日 1 电子技术课程设计说明书 目录 1 设计任务与要 求 ..................................................................... . (3) 1.1 参赛选手要求...................................................................... ..................................................... 3 1.2 存储要 求 ..................................................................... . (3) 1.3 锁存要 求 ..................................................................... . (3) 2 设计方 案 .....................................................................

.................................................... 42.1 设计思 路 ..................................................................... . (4) 2.2 设计原 理 ..................................................................... . (4) 2.2.1 设计原理及原理 图 ..................................................................... .. (4) 2.2.2 结构框图...................................................................... .................................................. 5 2.3 设计使用的芯片及各芯片的作 用 ..................................................................... .. (6) 2.3.1 74LS48芯 片 ..................................................................... .. (6) 2.3.2 74LS148芯 片 ..................................................................... (7) 2.3.3 74LS279芯 片 ..................................................................... (9)

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

FPGA四路电子抢答器设计

课程设计报告 专业班级 课程 FPGA/CPLD原理及应用题目四路电子抢答器设计学号 姓名 同组人 成绩 2013年5月

一、设计目的 1.进一步掌握QUARTUSⅡ软件的使用方法; 2.会使用VHDL语言设计小型数字电路系统; 3.掌握应用QUARTUSⅡ软件设计电路的流程; 4.掌握电子抢答器的设计方法。 二、设计要求 1.系统总体设计 (1)设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2)具有第一抢答信号的鉴别和锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,显示器显示出抢答者的组别。同时,电路处于自锁存状态,使其他组的抢答器按钮不起作用。 (3)具有计时功能。在初始状态时,主持人可以设置答题时间的初始值。在主持人对抢答组别进行确认,并给出倒计时记数开始信号以后,抢答者开始回答问题。此时,显示器从初始值开始倒计时,计到0时停止计数,同时扬声器发出超时警报信号。若参赛者在规定的时间内回答完问题,主持人可以给出计时停止信号,以免扬声器鸣叫。 (4)具有计分功能。在初始状态时,主持人可以给每组设置初始分值。每组抢答完毕后,由主持人打分,答对一次加1分,答错一次减1分。 (5)设置一个系统清除开关,该开关由主持人控制。 (6)具有犯规设置电路。超时抢答者,给予鸣喇叭警示,并显示规范组别。 2.设计方案 系统的输入信号有:各组的抢答按钮A、B、C、D,系统允许抢答信号STA,系统清零信号RST,计分时钟信号CLK,加分按钮端ADD、en,减分端SUB、sta,计时使能端en时钟信号clk,复位rst;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用a1、b1、c1、d1表示,四个组抢答时的计时数码显示控制信号,抢答成功组别显示的控制信号,各组计分显示的控制信号。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(输出显示模块)。 3.如图为流程图: 开始→抢答→抢答鉴别→回答→加减分数→显示↑↑ 倒计时倒计时 犯规抢答或抢答后答题时间超时鸣喇叭警告。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四路抢答器的设计

江汉大学物理与信息工程学院 课程设计报告 课题名称:四路抢答器的设计 (英文) : The Design of Four- routes Priority-answer Set 专业:电子信息工程(光电信息工程) 班级: 学号: 学生姓名: 指导教师: 2008年9 月26 日

四路抢答器的设计 一、设计任务及要求 1、设计一个四路抢答器(有线控制)用通用板实现,当四组参赛者之一抢先按下开关时,抢答器能准确的判断出是哪一组抢答成功,指示灯显示抢答组别,扬声器发出声音。 2、抢答器具备鉴别第一个信号和锁存能,在主持人复位开始抢答后,将第一个抢答信号锁存,阻止其他信号输入,复位后开始新一轮抢答。 二、设计框图及整机概述 整机设计框图如图1所示(Windows画图工具),参赛者按钮是四路单刀双掷开关,选择前接低电平;主电路由4个D触发器组成,D脚接开关,Q脚接输出;由TTL与非门形成特定电平控制边沿触发器的CP,使触发器暂时封锁;抢答成功通过发光二极管显示,同时蜂鸣器发出声音提示。设计抢答器的关键是主电路和CP脉冲产生电路的方案确定,将在下面详细说明。 图1 四路抢答器设计框图

三、单元电路的设计方案及原理说明 1、主电路的设计方案 方案一:可以由编码器构成抢答部分,编码器有优先选择的特点,也可以达到抢答的效果,但它有不准确的缺点,如果两人同时抢答的话,那么,那么优先级比较高的选项会抢得机会。而且编码后的结果为二进制编码,需经过一译码器才能显示,电路的可用性不高。 方案二:用边沿触发器实现抢答部分,可以由是否产生脉冲来封锁触发器。当有人按下抢答按键时(即Di=“1”),n i Q 和n i Q 有改变,n i Q 的状态与i D 的 输入直接相关,再与脉冲经过若干与非门后,便可封锁后来的按键。而且它比方案一更准确(能最大可能的区分抢答先后),而且可以直接由n i Q 与外部显示相连,这种方案既方便又准确,而且电路比较简单易于实现。 比较两套方案后,选择方案二。电路原理图如图2所示(protel99 SE )。 图2 主电路原理图 2、脉冲产生电路的设计方案 方案一:由与非门实现脉冲振荡,这种电路的频率范围由RC 的变化确定,这种电路虽然简单,但起振频率范围有限,电路只会在一定的范围内产生振荡。 方案二:由555定时器和外接元件R1、R2、C 构成多谐振荡器,电路只有两

用Multisim实现的四路抢答器实验报告

四路抢答器实训报告 宋晓瑜 电子信息工程技术

目录 概述 (3) 基本功能 (3) 基本构成部分 (3) 多谐振荡 (3) 倒计时 (3) 优先译码 (4) 控制端 (4) 多谐振荡电路555芯片分析 (6) 倒数计数电路74LS192分析 (7) 基本控制电路分析 (8) 选手抢答 (8) 主持人控制端 (8) 优先译码芯片74LS148在电路中的作用及分析 (9) 参考文献 (10)

概述 元器件:74LS08、74LS02、74LS04、74LS192、74LS555、74LS148、74LS74 基本功能 本实训作业最终实现了四路抢答器的效果,设定好需要倒计时的时间后由主持人宣布开始抢答,选手即开始抢答,特定的数码管将显示最先抢到信号的选手号码,在时间到达仍然无人抢答时,计时器到零停止。 基本构成部分 多谐振荡电路、倒数计时电路、控制电路、优先译码电路。 多谐振荡 通过555芯片进行基本调试模拟连接,构成一个频率为100HZ 的毫秒脉冲电路。 倒计时 倒计时电路在工作过程中,实现倒数计时,利用两个74LS192芯片,以毫秒脉冲信号产生的借位信号为第二个192芯片的脉冲信号,从而达到秒计时的效果。设计时在各输入信号端A,B,C,D接入不

同的信号,倒计时的时间值不同。在选手有抢答信号产生时倒计时停止,抢答时间到零而到无人抢答时自动停止计时。 优先译码 在电路运行过程中各个选手的输入信号通过D出发器进行保存,并且送至优先译码芯片中,由于优先译码器的特点是当有输入信号时两个输出端口会输出信号(GS\EO端),具体功能表如下: 此功能产生的信号可用来给计时电路发送暂停命令。 控制端 控制端有两部分组成:选手控制端、主持人控制端 主持人持控制置数端 O点表示开始计时,R点表示复位(将选手之前的选择进行清除记忆)R点为点触式开关。主持人在控制电路时应注意必须

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

相关主题
文本预览
相关文档 最新文档