当前位置:文档之家› 基于multisim的定时器课程设计

基于multisim的定时器课程设计

基于multisim的定时器课程设计
基于multisim的定时器课程设计

目录

1背景及意义 (1)

2 设计原理及设计方案 (2)

2.1设计原理 (2)

2.2设计方案 (2)

3 设计过程 (3)

3.1基于multisim的设计 (3)

3.1.1脉冲发生电路 (3)

3.1.2 置数电路 (4)

3.1.3计数电路 (5)

3.1.4译码显示电路 (6)

3.1.5警报电路 (8)

3.1.6控制电路 (8)

3.2基于VHDL的设计 (9)

3.2.1建立工程 (9)

3.2.2调试程序 (10)

4运行结果及分析 (14)

4.1基于multisim的结果及分析 (14)

4.2基于VHDL的结果分析 (15)

总结 (16)

参考文献 (17)

1背景及意义

随着社会文明的进步和科学技术的发展,先进的电子技术在各个近代跨学科门类和技术领域占有不可或缺的核心地位。在我国现代化得建设的发展进程中,数字电子技术在国民经济和科学研究各个领域的应用也越来越广泛。而计时器恰恰是数字电子技术的一个重要组成部分,在许多的领域中计时器均得到普遍应用,诸如在体育比赛中,定时报警器,游戏中的倒计时器,交通信号灯,红绿灯,行人灯,还可以做时间提醒设备等,由此可见计时器在现代社会是何其重要。

本设计为倒计时计时器,其作用范围在1~99s,具有直接清零,启动,暂停和连续以及具有光电报警功能,同时应用了气短数码管来显示时间。此计时器有了启动,暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成中途计时功能,实现了在许多的特定场合进行实践追踪的功能,在社会生活也具有广泛的价值。

2 设计原理及设计方案

2.1设计原理

我们可以用优先编码器74ls147来完成1~99秒的置数功能,用555时基电路构成的多谐振荡器来产生频率为1HZ的脉冲输出周期为1秒的方波脉冲,将该方波脉冲信号送到计数器74ls192的cp减计数脉冲端,在通过译码器74ls48把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的清零,启动和暂停\连续,译码显示电路与亮灯及光电报警灯功能。

2.2设计方案

通过初步设计,可以确定该系统应该包括置数电路,秒脉冲发生器,计数器,译码显示电路,时序控制电路,警报电路等6部分构成。其中置数电路,计时器和时序控制电路是该系统的主要部分。置数电路完成置数,计数器的主要功能是完成倒计时功能,而控制电路具有计数器的启动计数,暂停,连续计数等功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号的时序关系。在操作直接清零开关时,计时器清零,显示器显示零。当启动开关置1时,控制电路应封锁时钟信号cp,通过置数器置数,计数器完成置数功能,显示器显示所置的数。当启动开关置0时,计数器开始计数。当暂停,连续计数开关拨在暂停位置上,计数器停止计数,处于保持状态;当暂停,连续计数开关拨在连续计数的位置上,计数器继续递减计数。当计数器递减计数到0的时,封锁时钟信号cp,使计数器处于保持状态。

系统设计框图如图2.1所示。

图2.1

3 设计过程

3.1基于multisim 的设计 3.1.1脉冲发生电路

用555集成电路组成多谐振荡电路为系统提供时钟秒脉冲,555定时器应用为多谐振荡电路时,当电源接头vcc 通过电阻R1,R2向电容C 充电,其上电压按指数规律上升,当u 上升到2/3 Vcc ,会使比较器C1输出翻转,输出电压为零,同时放电管T 导通,电容C 通过R2放电;当电容电压下降到1/3Vcc ,比较器C2工作输出高电平,C 放电终止,Vcc 通过R1,R2又开始充电;周而复始,形成振荡。则其周期与放电时间有关,也就是与外接元件有关,不受电压电源变化影响。 公式计算:

T1=(R1+R2)C ln 2; T2=R2Cln2;

振荡周期:T=T1+T2=0.7(R1+2R2)C=1(s )

若取C=10uF ,结合实际选取电阻为600Ω,200Ω。

图3.1 内部管脚图 图3.2N555多谐振荡电路图

VCC

5V

U8

LM555CM

GND

1

DIS 7

OUT

3

RST 4VCC

8THR 6CON

5

TRI 2R28

200Ω

C110uF C210nF

R29600Ω

3.1.2 置数电路

置数电路利用74LS147优先编码器中优先级别高的信号排斥级别低的信号这一特点来置数,并将输出的编码输入到计数电路中,即完成置数功能。

(a )

(b )

图3.3 74LS147管脚图

本次实验的置数电路可以按图3.4接:

U1

74LS147D

A 9

B 7

C 6D

14

31341522121118574639

10

图3.4

3.1.3计数电路

本次课程设计中选用74LS192来实现减法的计数功能。图3.5是74LS192

的管脚图。

(a ) (b) 图3.5

74LS192具有下述功能:

异步清零:CLR=1,Q 3Q 2Q 1Q 0=0000.

异步置数:CLR=0,PL=0,Q 0Q 1Q 2Q 3=D 0D 1D 2D 3.

保持:CLR=0,~PL=1,UP=DOWN=1,Q 0Q 1Q 2Q 3保持原状态

加计数:CLR=0,~PL=1,UP-=CP ,DOWN=1,Q 0Q 1Q 2Q 3按加法规律计数

74LS147D

U2

74LS192D A 15B 1C 10D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14

减计数:CLR=0,~PL=1,DOWN=CP,UP=1,Q1Q2Q3Q4按减法规律计数按照课程设计任务书要求,需要计时在1~99秒内,所以设计中需要两个十进制的计数器。我们可以用两片74LS192来实现。计数电路中的两片加计数脉冲输入端都要接高电平,且要将低片位的借位信号加到高位片的减计数脉冲输入端。高位片的借位信号控制警报电路。具体接法如图3.6。

接入脉冲信号

图3.6

3.1.4译码显示电路

译码电路的功能是将计数器的输出代码进行翻译,编程相应的数字。用于驱动LED七段数码管的译码器常用的又有74LS48。图3.6是74LS48的管脚图。

图3.6

5V

图3.7是74LS8的真值表。

图3.7

本次实验译码显示电路按图3.8接。

3.8

3.1.5警报电路

警报电路由二极管和蜂鸣器组成,利用二极管的单向导通性,当高位片变为0时,其借位端为低电平,则二极管导通,蜂鸣器发出响声,完成警报功能。本实验的警报电路按图3.9接。

图3.9

3.1.6控制电路

控制电路主要实现异步清零,异步置数,暂停\连续计数等功能。具体电路图如下。

图3.10

BUZZER 200 Hz 4

5V

J2

Key = Space

VCC

总电路如图3.11所示:

图3.11

3.2基于VHDL的设计

3.2.1建立工程

点击File选中New Project Wizard,填入相应的工程名字,工程名字与实体名相同。如下图所示。

图3.12

连击两次Next,填入相应的参数,点击Finish即完成工程的建立,如图所示。

图3.13

3.2.2调试程序

(1)点击File,New,VHDL File,OK一个编辑窗口如图所示

图3.14

(2)在编辑框里填写如下程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count_30 is

port(clk,clr:in std_logic;

pld,enb:in std_logic;

warn:out std_logic;

s1,s0:out std_logic_vector(6 downto 0);

d:in std_logic_vector(9 downto 0);

s:in std_logic_vector(9 downto 0));

end count_30;

architecture a of count_30 is

signal dd:std_logic_vector(3 downto 0);

signal ss:std_logic_vector(3 downto 0);

begin

pro1:process(clk,clr,enb)

variable tmpa:std_logic_vector(3 downto 0);

variable tmpb:std_logic_vector(3 downto 0);

variable tmpwarn:std_logic;

begin

if clr='1'then tmpa:="0000";tmpb:="0000";tmpwarn:='0'; elsif clk'event and clk='1'then

if pld='1'then

if d(9)='1'then tmpb:="1001";

elsif d(8)='1' then tmpb:="1000";

elsif d(7)='1'then tmpb:="0111";

elsif d(6)='1'then tmpb:="0110";

elsif d(5)='1'then tmpb:="0101";

elsif d(4)='1'then tmpb:="0100";

elsif d(3)='1'then tmpb:="0011";

elsif d(2)='1'then tmpb:="0010";

elsif d(1)='1'then tmpb:="0001";

elsif d(0)='1'then tmpb:="0000";

end if;

if s(9)='1'then tmpa:="1001";

elsif s(8)='1' then tmpa:="1000";

elsif s(7)='1'then tmpa:="0111";

elsif s(6)='1'then tmpa:="0110";

elsif s(5)='1'then tmpa:="0101";

elsif s(4)='1'then tmpa:="0100";

elsif s(3)='1'then tmpa:="0011";

elsif s(2)='1'then tmpa:="0010";

elsif s(1)='1'then tmpa:="0001";

elsif s(0)='1'then tmpa:="0000";

end if;

tmpwarn:='0';

elsif enb='1'then

if tmpa="0000"then

if tmpb/="0000"then

tmpa:="1001";

tmpb:=tmpb-1;

else

tmpwarn:='1';

end if;

else tmpa:=tmpa-1;

end if;

end if;

end if;

ss<=tmpa;dd<=tmpb;warn<=tmpwarn; end process;

peo2:process(dd)

begin

case dd is

when"0000"=>s1<="0111111"; when"0001"=>s1<="0000110"; when"0010"=>s1<="1011011"; when"0011"=>s1<="1001111"; when"0100"=>s1<="1100110"; when"0101"=>s1<="1101101"; when"0110"=>s1<="1111101"; when"0111"=>s1<="0000111"; when"1000"=>s1<="1111111"; when"1001"=>s1<="1101111"; when others=>s1<="0000000";

end case;

end process;

process(ss)

begin

case ss is

when"0000"=>s0<="0111111"; when"0001"=>s0<="0000110"; when"0010"=>s0<="1011011"; when"0011"=>s0<="1001111"; when"0100"=>s0<="1100110"; when"0101"=>s0<="1101101"; when"0110"=>s0<="1111101"; when"0111"=>s0<="0000111"; when"1000"=>s0<="1111111"; when"1001"=>s0<="1101111"; when others=>s0<="0000000";

end case;

end process;

end a;

(3)存盘并进行编译,如图所示。

图3.14

4运行结果及分析

4.1基于multisim的结果及分析

将555的输出端接到示波器上观察到的波形为一脉冲,其频率近似为一秒。基本符合课程设计中所需秒信号的要求。

在电路完成后,将置数开关拨到有效,并置数为30s,显示器显示30字数。

图4.1

将置数开关拨到无效,则开始计数电路进行减计数,并在显示电路中显示相应的剩余时间,在此过程中电路的暂停、连续、清零等功能均得以实现。在倒计时为零时,二极管亮,蜂鸣器发出响声。

4.2基于VHDL的结果分析

对编译成功的程序进行仿真

图4.2

由仿真的波形图可知当enb为高电平时,clr清零端为高电平时,显示器显示零。当置数pld为高电平时,置数为30,则显示器显示30.当置数pld为低电平时,开始减计数。减为零时,warn为高电平有效。

总结

课程设计需要的是活学活用所涉及的知识。经过这次课程设计,才明白生产一电子产品是多么的不容易。里面的过程要求我要非常细心,要想设计并制作一个非常完美实用的数字电路,确实是一个不轻松的工作,不仅要有动手的能力,还要有灵活应用芯片理论的功能,为此需要阅读大量关于这方面的书籍。

在本次的课程设计中通过自己选题,找材料,分析,设计等,掌握了一些软件的应用,为以后的学习垫下了铺垫。整个设计实现了从单一的理论知识到解决实际问题的转变。通过本次实验我最大的收获就是提高了自身的动手能力和培养了我寻求解决问题的能力。在设计中,我充分的应用我们所学的理论知识,例如:集成电路74LS系列、二极管、整时电路555等元件的应用。这次课程设计使我受益匪浅,在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,同时也积极的请教了同学及老师,在他们的帮助下我也学到了很多。在让我体会到设计电路艰辛的同时,更让我体会到成功的喜悦和快乐。本次的实验也鞭策我要更加努力学习,并且有坚持不懈的精神!

参考文献

[1]余孟尝. 数字电子技术基础简明教程. 北京:高等教育出版社,2006:142-421

[2]刘明. 电子线路综合设计实验教程. 天津:天津大学出版社,2007:100-201

[3]门宏. 555时基实用电路解读.北京:北京工业出版社,2009:49-102

正弦波振荡器设计multisim(DOC)

摘要 自激式振荡器是在无需外加激励信号的情况下,能将直流电能转换成具有一定波形、一定频率和一定幅值的交变能量电路。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定、反馈系数、输出波形、起振等因素的综合考虑,本次课程设计采用电容三点式振荡器,运用multisim软件进行仿真。根据静态工作点计算出回路的电容电感取值,得出输出频率与输出幅度有效值以达到任务书的要求。 关键词:电容三点式;振荡器;multisim;

目录 1、绪论 (1) 2、方案的确定 (2) 3、工作原理、硬件电路的设计和参数的计算 (3) 3.1 反馈振荡器的原理和分析 (3) 3.2. 电容三点式振荡单元 (4) 3.3 电路连接及其参数计算 (5) 4、总体电路设计和仿真分析 (6) 4.1组建仿真电路 (6) 4.2仿真的振荡频率和幅度 (7) 4.3误差分析 (8) 5、心得体会 (9) 参考文献 (10) 附录 (10) 附录Ⅰ元器件清单 (10) 附录Ⅱ电路总图 (11)

1、绪论 振荡器是不需外信号激励、自身将直流电能转换为交流电能的装置。凡是可以完成这一目的的装置都可以作为振荡器。一个振荡器必须包括三部分:放大器、正反馈电路和选频网络。放大器能对振荡器输入端所加的输入信号予以放大使输出信号保持恒定的数值。正反馈电路保证向振荡器输入端提供的反馈信号是相位相同的,只有这样才能使振荡维持 下去。选频网络则只允许某个特定频率0f能通过,使振荡器产生单一频率的输出。 振荡器能不能振荡起来并维持稳定的输出是由以下两个条件决定的;一个是反馈电压 U和输入电压i U要相等,这是振幅平衡条件。二是f U和i U必须相位相同,这是相位f 平衡条件,也就是说必须保证是正反馈。一般情况下,振幅平衡条件往往容易做到,所以在判断一个振荡电路能否振荡,主要是看它的相位平衡条件是否成立。 本次课程设计我设计的是电容反馈三点式振荡器,电容三点式振荡器,也叫考毕兹振荡器,是自激振荡器的一种,这种电路的优点是输出波形好。电容三点式振荡器是由串联电容与电感回路及正反馈放大器组成。因振荡回路两串联电容的三个端点与振荡管三个管脚分别相接而得名。 本课题旨在根据已有的知识及搜集资料设计一个正弦波振荡器,要求根据给定参数设计电路,并利用multisim仿真软件进行仿真验证,达到任务书的指标要求,最后撰写课设报告。报告内容按照课设报告文档模版的要求进行,主要包括有关理论知识介绍,电路设计过程,仿真及结果分析等。 主要技术指标:输出频率9 MHz,输出幅度(有效值)≥5V。

30秒定时器设计概论

设计题目:30秒定时器设计 一、设计目的 掌握计数器、译码器、锁存器、定时器等模块电路的逻辑功能和工作原理,设计可预置时间的定时电路;分析与设计时序控制电路。画出30秒定时器的所有组成电路模块和整机逻辑电路图,掌握定时器的工作原理及其设计方法,并对各电路模块和元件的应用有所了解。 二、设计任务 1、设计一个30秒定时器,具有数码显示30秒计时功能。 2.、设置外部操作开关,控制计时器的直接清零、启动\连续功能。 3、计时器为30秒递减计时器,其计时间隔为1秒。 4、计时器递减到零时,数码显示器不能灭灯, 显示器显示00,并发出光电报警。 三、整机框图 30秒定时器的原理框图如图1: 图1:30秒定时原理框图 30秒定时器主要由秒脉冲发生器、控制电路、计数器、译码显示器电路和报警电路五部分组成。计数器完成30秒减计时功能,而控制电路是直接控制计数 器的清零、启动计数、暂停/连续计数、译码显示等功能。操作直接清零开关 时能够使计数器清零并且使数码显示器显示00,当启动开关闭合时, 控制电路应封锁时钟信号CP(脉冲信号),同时计数器完成计数功能,译码显示 电路显示30秒;当启动开关断开时,计数器开始计数:当暂停/连续开关闭合时, 控制电路封锁时钟信号CP,计数器处于封锁状态,计数器停止计数;当暂停/

连续断开时,计数器连续累计计数。 四、各部分电路设计 1、秒脉冲电路 图2:秒脉冲电路 秒脉冲产生电路采用555定时器来实现。555定时器是一种多用途集成电路,应用相当广泛,通常只需外接几个阻容元件就可以很方便的构成施密特触发器和多谐振荡器。利用555定时器构成多谐振荡器的方法是把它的阀值输入端TH和触发输入端TR相连并对地接电容C,对电源VDD接电阻R1和R2,然后再将R1和R2接DIS端就可以了。由555定时器构成的秒脉冲产生电路如图二所示。 多谐振荡器的振荡周期为: T=0.7(R1+R2)C=0.7(47+2*47)*1000*10*0.000001=987ms=1s 2、减计数器电路 减计数电路如图三,计数器74HC192是具有异步清零、异步预置功能的双时钟十进制同步加/减计数器,当S3接+5V时,CR为高电平,计数器清零;当

多功能定时器课程设计

摘要 在日常生活照,555定时器的应用非常广泛,我们常常用到定时控制。在早期运用的是模拟电路设计的,它的准确性和精度都不是很理想。然而现在基本上都是运用数字技术。定时器可以控制一些常用电器,也可以构成复杂的工业过程控制系统。它的功能强大,体积小且灵活,配以适当的芯片可以实现许多功能。随着电子技术的飞速发展,家用电器逐渐增多,不同的设备需要实现不同的功能,需要自己的控制器,设计十分不便。根据这种情况,本设计设计了一个多功能定时器,可以对许多电器进行定时。这种具有智能化的产品有效的减轻了人们的劳动,带人们走进智能化的时代,为家庭数字化的实现提供了可能。 关键词:555定时器;多功能;电器

目录 1方案论证 (1) 1.1方案的比较环节 (1) 1.2实验方案 (1) 2原理及技术指标 (2) 2.1实验原理 (2) 2.2实验技术指标 (2) 3单元电路设计及参数计算 (3) 3.1单元电路设计 (3) 3.1.1控制电路 (3) 3.1.2可控脉冲发生电路 (3) 3.1.3延时控制电路 (5) 3.1.4电源电路 (6) 3.2实验的连接与处理 (7) 3.2.1各部件实现功能 (7) 3.2.2实验处理 (8) 4电路图 (9) 4.1电路图 (9) 5设计小结 (10) 5.1个人感悟 (10) 5.2遇到问题及解决途径 (10) 参考文献 (11) 附录 (12)

1方案论证 1.1 方案的比较环节 方案一:通过51单片机进行编程设计一个电路系统 方案二:采用555定时器组成的多谐振荡器产生时钟脉冲。。 方案三:采用晶振产生时钟脉冲。晶振用一种能把电能和机械能相互转化的晶体在共振的状态下工作,以提供稳定、精确的单频振荡。 比较分析:三种方案相比较,方案一需要进行编程,而我们无法在短时间内编写好完整的程序,可实现性不强。方案二:555定时器芯片是一种广泛应用的中规模集成电路,只要外围配以几个适当的阻容元件,就可以构成无稳态触发器、单稳态触发器以及双稳态触发器等应用电路,以此为基础可设计各种实用的电路形式。而方案三的晶振的作用是为系统提供基本的时钟信号,但采用晶振需要较多的元器件,并且电路图比较麻烦,而且也不能达到锻炼思考能力、电路分析的目的。 因此,通过比较实用性,合理性,选择方案二。 1.2 实验方案 电源电路采用桥式整流电路从220VAC到5VDC的整流,可控脉冲发生器采用555多谐振荡器产生秒脉冲,延时电路由6级74LS160芯片组成前两级为秒脉冲触发,不参与判断,后四级为分钟脉冲触发,用74LS160控制置位端的A,B,C,D门一个脉冲开关控制此计数器的触发连接74LS21,可通过选通来确定所需要的输出位,当满足条件就会输出一个信号通过继电器的闭合控制用电器开关。

Multisim课程设计正弦波发生器

东北石油大学MULTISIM电气应用训练 2012年3 月01日

MULTISIM电气应用训练任务书 课程MULTISIM电气应用训练 题目Multisim的正弦波振荡电路仿真 专业自动化姓名刘月莹学号0906******** 主要内容: 以文氏电桥正弦波振荡电路仿真为例,分析了基本及稳幅文氏电桥正弦波发生器的特点,并采用Multisim 10软件对文氏电桥正弦波发生器进行了仿真,仿真结果与理论分析结果一致。软件仿真在课堂教学、电路设计、及实验教学中的应用,使得课堂教学信息量饱满,设计、实验变得轻松,使教学的效果得到提升,在教学领域具有重要的推广、应用价值。 主要参考资料: [1] 黄智伟.全国大学生电子设计竞赛电路设计[J].北京:北京航空航天大学出版社,2006. [2] 康华光.电子技术基础[J].北京:高等教育出版社,2001. [3] 张凤言.电子电路基础[M].北京:高等教育出版社,1995. [4] 杨素行.模拟电子技术基础简明教程[M].北京:高等教育出版社,2002. [5] 岳怡.数字电路与数字电子技术[J].西安工业大学出版社,2004. [6] 路勇.电子电路实验及仿真[M].清华大学出版社,2004. [7] 张俊漠.单片机中级教程——原理与应用[M].北京航天航空大学出版社,2006. 完成期限2012.2.20——2012.3.1 指导教师李宏玉刘超 专业负责人 2012年3 月1 日

目录 1 任务和要求 (1) 2 稳幅文氏电桥正弦波发生器 (5) 3文氏电桥正弦波发生器电路仿真 (5) 4设计总结 (6) 参考文献 (6)

单片机的课程设计_30秒定时器

目录 一、篮球计时器作用 (1) 二、设计的具体实现 (1) 1.系统概述 (1) 1.1总体设计思路及方案 (1) 1.2流程图 (3) 1.3计数原理 (3) 1.4定时器工作方式 (4) 2.单元电路设计 (6) 2.1 8051单片机 (6) 2.2两个基本电路 (8) 2.3八段数码管的驱动方式.......................错误!未定义书签。 3.软件程序设计 (9)

单片机的定时器设计 一、篮球计时器的作用 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就视为犯规。本课程设计的“篮球竞赛24秒定时器”,可用于篮球比赛中对球员持球时间作24秒时间限制。一旦球员的持球时间超过了24秒,它自动报警,从而判定此球员犯规。 二、设计的具体实现 1.系统概述 1.1总体设计思路及方案 图1.1.1 总设计图

流程图:

最小系统,就是最简单的输出/输入构成,并且能实现最基本的运行条件,如应有供电、时钟附属电路等。单片机的最小系统包括晶振电路复位电路和电源,这时最小系统基本组成当然还可以添加矩阵键盘数码管等。 此实验的原理是,利用单片机的最小系统,通过锁存器74HC573控制数码管,来实现30秒定时器的功能。 图1.1.2最小系统 1.2计数原理 80C51单片机部设有两个16位的可编程定时器/计数器。在定时器/计数器中除了有两个16位的计数器之外,还有两个特殊功能寄存器(控制寄存器和方式寄存器)。 1.2.1定时器/计数器的结构 16位的定时/计数器分别由两个8位专用寄存器组成,即:T0由TH0和TL0构成;T1由TH1和TL1构成。每个寄存器均可单独访问。这些寄存器是用于存放定时或计数初值的。此外,其部还有一个8位的定时器方式寄存器TMOD和一个8位的定时控制寄存器TCON。这些寄存器之间是通过部总线和控制逻辑电路

数字逻辑课程设计(定时器)

一.内容摘要: 定时器的设计: 设计一个0~60分钟之内的定时器,定时开始的时候红指示灯亮,结束的时候绿指示亮,可以随意以分钟为单位,在六十分钟的范围内设定定时时间,随着定时的开始,显示器开始显示时间,即依次显示出0,1,2,3,4….直到定时结束,当定时结束的时候进行手动清零。首先设计一个秒脉冲发生器,一个计数电路,一个比较电路,然后对电路进行输出。当开始定时之前手动对要定时的时间进行预置数,然后运用秒脉冲发生器输入脉冲,用计数器对脉冲的个数进行计数,把编码器的数据与脉冲的个数通过数值比较器进行比较,最后按照要求进行红绿等输出表示定时的状态是正在进行定时,或者是已经定时结束,在定时的过程中显示定时的时间。 二.方案的论证与选择: 方案1 例如设计一个六十分钟的定时器,就需要六十进制的分钟计数器。设计秒脉冲发生器,当计数器完成六十分钟的记数时,就手动清零。需要设定其他的时间时, 只需将计数器的进制改变一下就行。这个方案只适用于特定的定时器,设定的时间 不变。如果本课设用此方案,就需要设计从1——60进制的计数器,工程量太大。 方案2, 设计一个定时器,可以在0~60分之间一分钟为单位任意可调,定时开始的时候红灯亮,定时结束的时候绿灯亮,定时结束之后手动清零,满足设计的要求,故本次课程设计中采用的是这种设计方案。

三.总设计思想框图: 总体的完整电路图: 就是将各个单元电路用导线连接起来,然后进行仿真处理,开始进行定时的时候红指示灯亮。图中所示的是定时为16分钟的定时仿真结果,完整的电路图。

2.5 V 图2 四.单元电路的设计与参数的计算 1.秒脉冲发生器的选择: (1)采用石英晶体的多谐振荡器,在RC环形振荡器电路中,接入RC可以获得较小 的频率,而且通过RC的调节可以调节频率,用于对频率稳定性要求比较高的电路,

Multisim课程设计报告

Multisim课程设计报告 课程名称:multisim电路仿真设计题目:病房呼叫系统设计 学号:王后影110914033 专业班级:11电信本(一)班

指导老师:宇安 病房呼叫系统的设计 一.实验目的 1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。 4.培养认真严谨的工作作风和实事的工作态度。 5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力 二.实验原理 要求当一号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即“1”号病室的优先级别最高,其他病室的级别依次递减,7号病室级别最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的即为当前优先级别最高的病室呼叫,同时在有呼叫的病房门口的指示灯闪烁。待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

电路设计流程图 本例在设计中采用了8/3线优先编码器74LS148,74LS148有8个数据端(0~7),3个数据输出端(A0~A1),1个使能输入端(EI,低电平有效),两个输出端(GS,E0)。数据输出端A~C根据输入端的选通变化,分别输出000~111这0~7二进制码,经逻辑组合电路与74LS47D BCD-七段译码器/驱动器的数据输入端(A~C)相连,最终实现设计要求的电路功能,电路如图所示。电路中与门74LS08DD的输出端(3、6、8)与74LS147D BCD-七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。 此例仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。K1~K7为病房呼叫开关,在其下方的Key=1,...Key=7分别表示按下键盘1~7数字键,即可控制相应开关的通道。L1~L7为模拟病房门口的呼叫指示灯,当呼叫开关K1~K7任何开关被按下时,相应开关上的指示灯即闪烁发光,同时护士值班室的数码管即显示相对最高优先级别的病房号,而且蜂鸣器SP会令计算机上的扬声器发声。

30秒计时器设计报告

课程设计报告 题目 30S定时器设计 院部名称 班级 学生姓名 学号 指导教师

目录 前言 一、电路设计原理与方案 (4) 1.1 设计原理 (4) 1.2 设计方案 (4) 二、各单元电路设计 (4) 2.1 脉冲发生电路 (4) 2.2 计数电路 (6) 2.3 译码显示电路 (8) 2.4 控制电路 (10) 三、仿真原理图 (11) 四、总结 (13) 附录、元件清单 (14)

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为30秒递减计时其计时间隔为0.1秒;计时器递减计时到零时,数码显示器不灭灯,同时发光二极管LED点亮,停止减计数等。 整个电路的设计借助于Multisim 12.0仿真软件和数字逻辑电路相关理论知识,并在Multisim 12.0下设计和进行仿真,得到了预期的结果。

一、电路设计原理与设计方案 1.1 设计原理 我们可以用555时基电路构成的多谐振荡器来产生频率为10Hz的脉冲,即输出周期为0.1秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的CP减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动和暂停/连续、译码显示电路的显示与灭灯及光电报警等功能。 1.2 设计方案 该系统应包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)等几部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能以及工作时间的调节。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开时,计数器开始计数;当按下十位调节开关时,计数器加1;当按下个位调节开关时,计数器同样加1;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。 二、各单元电路设计 2.1 脉冲发生电路 555定时器 555定时器主要是通过外接电阻R和电容器C构成充、放电电路,并由两个比较器来检测电容器上的电压,以确定输出电平的高低和放电开关管的通断。这

课程设计-单片机定时器的设计

摘要 单片机是一种集成在电路芯片,是采用超大范畴集成电路技能把具有数据处理本事的中心处理器CPU 随机存储器RAM、只读存储器ROM、多种I/O 口和间断系统、定时器/计时器等成果(大要还包括表现驱动电路、脉宽调制电路、模拟多路转换器、A/D 转换器等电路)集成到一块硅片上构成的一个小而完竣的计较机系统。单片机具有特点具有良好的性能价格比;低电压、低功耗;集成度高、体积小、可靠性高;控制成果强等优点。 计算机暂时中止正在执行的主程序,转去执行中断服务程序,并在中断服务程序执行完了之后能自动回到原主程序处继续执行,这个过程叫做“中断”。中断需要解决两个主要问题:一是如何从主程序转到中断服务程序;二是如何从中断服务程序返回主程序。 关键词:单片机,中断,延时

目录 1.设计目的 (1) 2.设计任务的内容和要求 (1) 3.设计原理 (1) 4.程序说明 (3) 5.心得体会 (6)

定时器试验 1.设计目的 (1)熟练运用汇编语言编程,并且掌握键盘查表来运行相应的功能 (2)熟悉启东硬件仿真系统,熟练应用该系统调试软件 (3)熟悉单片机应用系统的组成,并能运用程序控制外部流水灯 2.设计任务的内容和要求 (1)初始化定时器,使之采用定时器0,方式2,定时100us时间 (2)通过设置中断,产生总时间为1秒 (3)1秒时间到,控制发光二极管点亮 3.设计原理 在实际的控制系统中常要求有外部实时时钟,以实现定时或延时控制;还要求有外部计数器,以实现对外界事件进行计数。 MCS-51单片机由两个可编程定时/计数器(以下简称T/C)。T0,T1 T/C的核心是1个加1计数器,它的输入脉冲有两个来源:一个是外部脉冲源,另一个是系统机器周期(时钟振荡器经12分频以后的脉冲信号)。T0,T1是2 个16位寄存器。加1到满溢出产生中断 T0(TH0,TL0);8CH,8AH地址不连续 T1(TH1,TL1);8DH,8BH 都具有定时或者计数功能。 图一 图一有2个模拟的位开关,前者决定了T/C的工作状态:当开关处于上方时为定时状态,处于下方时为计数状态。工作状态的选择由特殊功能寄存器TMOD的C/T位来决定。C/T=0表示定时,C/T=1表示计数。 当T/C处于定时方式时,加1计数器在每个机器周期加1,因此,也可以把它看作在累计机器周期。由于一个机器周期包含12个振荡周期,所以它的计数速率是振荡频率的1/12。 如果主频12M,机器周期为1us,每1us定时寄存器完成1次加1操作。一旦振荡周期确定,机

篮球竞赛30秒计时器设计课程设计

沈阳航空航天大学 课程设计 (说明书) 篮球竞赛30秒计时器设计 班级/ 学号 学生姓名 指导教师 沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 院(系)计算机学院专业计算机科学和技术 班级学号姓名 课程设计题目篮球竞赛30秒计时器设计 课程设计时间: 2010 年07 月15 日至2010 年07 月24 日课程设计的内容及要求: 一、设计说明 在篮球比赛进行过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理参考框图如图1所示。

秒脉冲发生器 译码 显示计数器 控制电路报警电路 外部操 作开关 { 图1 篮球竞赛30秒计时器原理框图 二、技术指标 1.具有显示30秒的计时功能。 2.设置外部操作开关,控制计时器的直接清零、启动和暂停/ 连续功能。 3.计时器为30秒递减计时器,其计时间隔为1秒。 4.计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 四、实验要求 1.根据技术指标制定实验方案;验证所设计的电路。 2.进行实验数据处理和分析。 五、推荐参考资料 1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试和实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告 指导教师年月日 负责教师年月日 学生签字年月日 成绩评定表 评语、建议或需要说明的问题: 成绩

单片机课程设计篮球计时器

单片机课程设计篮 球计时器

绪论 篮球比赛中除了有总时间倒计时外,为了加快比赛的节奏,规则还要求进攻方在24秒内有一次投篮动作,否则视为违例。以下为一个篮球比赛计时器,该计时器采用按键操作、数码管显示,非常实用。此计时器也可作为其它球类比赛的计时器。 本课程设计介绍了一个基于单片机的篮球比赛计时器硬件设计,包括STC89C51, 2个八段共阳数码管显示、上电复位电路、时钟发生电路等基本模块的设计。其功能土要有:一场篮球比赛共分四节,每节12分:每次进攻为24秒,计时器的显示均为倒计时方式,24秒计时用两位数码管显示;所有的计时都要具有暂停、继续、复位;当球员的持球时间超过24秒时,24秒倒计时减为零且有蜂鸣器报警提示。本次课程设计是采用单片机C语言实现倒计时24秒篮球比赛计时器。

1系统工作原理 1.1 功能说明 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。 大多数篮球计时器的主控芯片为AT89C51,采用12MHz晶振,P0.0-P0.7作数码显示端。24秒计时开始,A3为24秒复位开启键(投篮或交换控球时按下此键);A4为24秒计时停止键(有违例时按下此键); A5为24秒计时启动键;A6为总复位键。而此次我们设计的是1个简易篮球比赛计时器。 最简单的篮球球计时器是24秒倒计时计时器。也就是本次课程设计的课题。24秒篮球计时器要求设置外部操作开关,控制计数器的直接复位、启动和暂停,而且计时电路递减计时,每隔1秒钟,计时器减1,当计时器减0时,显示器上显示00,同时发出蜂鸣器报警信号。 1.2基本原理 24秒计时器的总体参考方案框图如图1所示,它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

单片机定时器设计

摘要 摘要 随着时代的进步,电子行业的发展,定时器的应用也越来越广泛,单片机以其强大的功能,成为许多功能电子产品的首选。本次电子定时器电路根据设计要求采用AT89C51单片机来实现最大99秒倒计时,采用两位数码管显示。文章的核心主要是硬件介绍及连接和软件编程两个大的方面。硬件电路主要包括AT89C51、晶振电路、数码管,发光二级管,按键。软件用汇编语言实现,主要包括主程序、倒计时、重启控制程序等软件模块。采用软硬件配合基本能实现设定定时时间倒计时功能,达到了设计的要求和目的。并在Proteus软件上进行了仿真和调试。 关键词 AT89C51单片机;定时器;倒计时

目录 摘要…………………………………………………………………………………………… 第一章绪论......................................................... 1.1定时器的发展................................................. 1.2 电子定时器的应用............................................... 1.3选题的目的和意义................................................ 1.4 本章小结 第二章单片机的基础知识 (3) 2.1单片机简介 (3) 2.2单片机的特点 (3) 2.3 本章小节 第三章功能实现及硬件介绍 (4) 3.1 设计功能实现 (4) 3.2 C51单片机引脚介绍 (9) 3.3时钟和复位电路 3.4数码管显示 (10) 3.5键盘 (12) 3.6电气原理图……………………………………………………… 3.7本章小结 第四章软件设计 (15) 4.1 程序流程图 (15) 4.2定时1秒设计 (16) 4.3重新启动 (17) 4.4程序 (17) 4.5 本章小结 结论................................................................ 参考文献............................................................ 致谢.........................................................................

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

30秒定时器

安康学院电子技术课程设计报告书 课题名称:30秒定时器 姓名: 学号: 院系:电子与信息工程系 专业: 指导教师: 时间:

课程设计项目成绩评定表设计项目成绩评定表

课程设计报告书目录 设计报告书目录 一、设计目的 (1) 二、设计思路 (1) 三、设计过程 (1) 3.1、系统方案论证 (1) 3.2、模块电路设计 (2) 四、系统调试与结果 (5) 4.1秒脉冲电路的测试 (5) 4.2减计数和译码电路的测试 (6) 4.3控制电路的测试 (7) 4.4整机电路的测试 (9) 五、主要元器件与设备 (10) 六、课程设计体会与建议 (10) 6.1、设计体会 (10) 6.2、设计建议 (10) 七、参考文献 (11)

一、设计目的 1、熟悉集成电路引脚安排。 2、掌握芯片的逻辑功能及使用方法。 3、学习用Multisim进行电路的仿真调试。 4、培养独立对电路进行分析和纠错的能力。 二、设计思路 1.设计秒脉冲电路 2.设计减计数电路 3.设计译码电路 4.设计控制电路 三、设计过程 3.1、系统方案论证 30秒定时器总体方框图如图1所示 图1 30秒定时器总体方框图 其工作原理为:计数器完成30秒计时功能,而控制电路时直接控制计数器

的清零,启动计数,暂停/连续计数,译码显示等功能。操作直接清零开关时能够使计数器清零并且使数码显示器显示00;当启动开关闭合时,控制电路应封锁时钟信号CP(脉冲信号),同时计数器完成置数功能,译码显示电路显示30秒;当启动开关断开时,计数器开始计数;当暂停/连续开关闭合时,控制电路封锁时钟信号CP,计数器处于封锁状态,计数器停止计数;当暂停/连续断开时,计数器连续累计计数。 3.2、模块电路设计 秒脉冲电路 如图2,利用555定时器和外接原件构成多谐振荡器。THR和TRI直接相连,电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号,利用电源通过R1和R2向C充电,以及C通过R2向DIS端放电,使电路产生振荡。最后接D触发器进行2分频,同时对波形进行整形。 图2 秒脉冲电路图 30秒减计数电路 计数器74HC192是具有异步清零、异步预置功能的双时钟十进制同步加\减计数器。当J1接5V时,CR为高电平,计数器清零。当J2接地时,LD为低电平,计数器置数30。 当CR为低电平,LD为高电平,UP为高电平,由DOWN输入计数脉冲,

定时器_课程设计

二○一四~二○一五学年第一学期 西安理工大学 高科学院 课程设计报告书 课程名称:微机原理课程设计 班级: 学号: 姓名: 指导教师:

二○一四年十二月二十日 课程设计任务书 2014 年秋季学期

目录 第1章方案论证 1.1 课程设计的目的和要求 (1) 1.2 总体设计 (1)

第2章硬件设计 (2) 2.1 AT89S51芯片概述 (2) 2.2 LED数码管显示器概述 (5) 2.3 其他元器件介绍及参数选择 (7) 第3章软件设计 (8) 3.1 程序框图 (8) 3.2 定时/计数器初值计算 (8) 3.3 软件程序…………………………………………………………………………………………………………………………………………………9第4章调试与仿真 4.1 Keil软件介绍及使用 (10) 4.2 Proteus软件介绍及使用 (10) 课程设计心得体会 (11) 参考文献 (11) 第一章方案论证 1.1课程设计的目的和要求 1.目的

课程设计是微机原理课程教学的最后一个环节,是对学生进行全面的系统的训练。进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握、应用得的专门性实践类课程。通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。2.要求 利用T0产生1秒的定时,当1秒定时时间到,秒计时器价1。秒计时到60时,自动从0开始。 3. 目标 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法。通过做一个综合性训练题目,达到对内容的消化、理解并提高解决问题的能力的目的。 1.2 总体设计 本设计由硬件设计和软件设计两部分组成,总电路如图1所示,硬件设计主要包括单片机芯片选择,数码管选择及晶振,电容,电阻等元器件的选择及其参数的确定;软件设计主要是实现60秒计数程序的编写,包括利用中断实现1秒的定时及60秒的计数。 图1:60秒计数总体电路设计

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

课程设计 30秒计时器

《篮球竞赛30秒定时器》课程设计 2010-11-12 14:42:15| 分类:课程设计| 标签:|字号大中小订阅 《数字电子技术》课程设计报告 课题:篮球竞赛30秒定时器 1.设计目的 2.设计要求 1、设计一个30秒计时电路,并具有时间显示的功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续计时。 3、要求计时电路递减计时,每隔1秒钟,计时器减1。 4、当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出 光电报警信号。 3.总体设计 在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就视为犯规。本课程设计的“篮球竞赛30秒定时器”,可用于篮球比赛中对球员持球时间作30秒时间限制。一旦球员的持球时间超过了30秒,它自动报警,从而判定此球 员犯规。 本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制定时器的直接清零/启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;定时器为30秒递减计时,其计时间隔为1秒;定时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。同时本课程设计是脉冲数字电路的简

单应用,设计了篮球竞赛30秒定时器。此定时器功能齐全,可以直接清零、启动、暂停和连续,以及具有光电报警功能,同时应用了七段数码管来显示时间。此定时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当定时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 3.1 制作流程图 图1 设计总框图3.2 原理图 图2 篮球竞赛30秒定时器原理图 在操作S3到直接清零开关时,此时要求计时器清零,数码显示灭灯。当启动开关S1闭合时,控制电路应封锁始终信号CP(秒脉冲信号),同时计数器完

相关主题
文本预览
相关文档 最新文档