当前位置:文档之家› EDA_VerilogHDL_复习提纲

EDA_VerilogHDL_复习提纲

EDA_VerilogHDL_复习提纲
EDA_VerilogHDL_复习提纲

1.EDA技术是20世纪后期,伴随着微电子技术、大规模集成电路制造技术、计算机辅助工程、可编程逻辑器件以及电子设计技术和工艺的发展而同步发展形成的一门综合性的技术与学科。

2在EDA工具软件平台上,自动完成从软件方式描述的数字系统到硬件系统的逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线)、逻辑优化和仿真测试等功能,随之完成对于特定目标芯片的适配、逻辑映射、编程下载等工作,直至硬件实现整个数字系统

3.综合是将高层次上描述的电子系统转换为低层次上描述的电子系统,以便于系统的具体硬件实现

综合器是能自动将高层次的表述(系统级、行为级)转化为低层次的表述(门级、结构级)的计算机程序

4.设计输入的方式有原理图、硬件描述语言、状态图以及波形图

5.按照仿真的电路描述级别的不同,HDL仿真器可以完成:系统级仿真,行为级仿真,RTL级仿真,门级(时序)仿

真。按照仿真是否考虑硬件延时分类,可以分为:功能仿真和时序仿真。仿真器可分为基于元件(逻辑门)仿真器和基于HDL语言的仿真器

6. IP核是知识产权核或知识产权模块,在EDA技术中具有十分重要的地位。半导体产业的IP定义为用于ASIC或FPGA

中的预先设计好的电路功能模块。IP分为软IP、固IP和硬IP。

7.可编程逻辑器件PLD是一种通过用户编程或配置实现所需逻辑功能的逻辑器件,也就是说用户可以根据自己的需求,

通过EDA开发技术对其硬件结构和工作方式进行重构,重新设计其逻辑功能

8.两种可编程逻辑结构是基于与-或阵列可编程结构(乘积项逻辑可编程结构)、基于SRAM查找表的可编程逻辑结构

9.PLD按集成度分类:简单PLD、复杂PLD;按结构分类:基于“与-或”阵列结构的器件、基于查找表结构的器件;

从编程工艺上分类:熔丝型、反熔丝型、EPROM型、EEPROM型、SRAM型、Flash型

10.四种简单逻辑器件:PROM中固定的与阵列,可编程或阵列;PLA是与阵列、或阵列都可编程;PAL中或阵列固定,

与阵列可编程;GAL是或阵列、与阵列都可编程,输入部分增加了输出逻辑同单元(OLMC)

11.CPLD的组成结构:逻辑阵列块(由逻辑宏单元构成)、扩展乘积项(共享和并联)、可编程连线阵列、I/O控制块

12.FPGA的组成结构:逻辑阵列块LAB(由多个逻辑宏单元构成)、嵌入式存储器块、嵌入式硬件乘法器、I/O单元和

PLL等模块

13.Verilog的端口模式有三种:输入端口、输出端口、双向端口,对应的端口定义关键词分别是:input、output、inout

14.Verilog中常用有两种变量:寄存器型变量(用reg定义)、网线型变量(用wire定义)

15.Verilog有两种赋值方式:阻塞式赋值(=)、非阻塞式赋值(<=)

16.Verilog有四种循环语句:for语句、repeat语句、while语句、forever语句

17.Verilog的描述风格:RTL描述、数据流描述、行为描述、结构描述

18.从状态机的信号输出方式上分,有Mealy型和Moore型两种状态机;从状态机的描述结构上分,有单过程状态机和

多过程状态机;从状态机表达方式上分,有符号化状态机和确定状态编码的状态机;从状态机编码方式上分,有顺序编码状态机、一位热码编码状态机或其他编码方式状态机。

19.状态机结构通常包含说明部分、主控时序过程、主控组合过程、辅助过程等几个部分

20.非法状态是在状态机设计中,使用枚举类型和直接指定状态编码的程序中,特别是使用了一位热编码方式后,总是

不可避免地出现大量剩余状态,即未被定义的编码组合。这些状态在状态机的正常运行中是不需要出现的。剩余状态的处理要不同程度地耗用逻辑资源,这就要求设计者在选用何种状态机结构,何种状态编码方式,何种容错技术及系统的工作速度与资源利用率方面权衡比较,以满足自己的设计要求

1.简述自顶向下的设计方法

答:①从自然语言说明到HDL的系统行为描述;②从HDL的系统行为描述到RTL描述;③从RTL描述到逻辑门级描述;④从门级描述到最终可以物理布线实现的底层电路;(以上可以理解成是逐步综合的过程。)⑤后期设计还包括涉及硬件实现和测试。

2.简述EDA的设计流程

答:①图形输入:a.原理图b.硬件描述语言c.状态图d.波形图;②HDL文本输入;③综合;④适配;⑤功能仿真与时序仿真;⑥编程下载;⑦硬件测试

3.简述过程语句的执行过程

答:①由always@引导的过程语句结构是Verilog中最常用和最重要的语句结构。②任何顺序语句都必须放在过程语句结构中。③通常要求将过程语句中所有的输入信号都放在敏感信号表中。(a.用文字or连接所有敏感信号。b.用逗号区分或连接所有敏感信号。c.省略形式,只写成(*),或直接写成always @ * ,显然试图通过选择性地列入敏感信号来改变逻辑设计是无效的。)④过程语句的执行依赖于敏感信号的变化(发生事件)。当某一敏感信号发生变化,

感信号发生变化再次进入“启动-运行”状态。过程语句结构是一个不断重复运行的模块。⑤一个模块中可以包含任意个过程语句,过程语句本身属于并行语句,而由过程引导的各类语句属于顺序语句。

4.简述什么是元件例化

答:元件例化就是引入一种连接关系,将预先设计好的元件,与当前设计模块中的指定端口相连接,从而构成层次化的设计方式。元件例化可以是多层次的,一个调用了较低层次元件的设计模块,也可以被更高层次的设计模块调用,成为高层次设计模块中的一个元件。被例化的元件可以以不同的形式出现,可以是Verilog设计文件,可以是FPGA 元件库中的元件,或者是以别的硬件描述语言设计的元件,还可以是IP核。

5.简述阻塞赋值语句和非阻塞赋值语句的过程区别

答:阻塞赋值语句的执行过程可以分成两步:计算驱动表达式的值;完成赋值(目标变量获得更新)。①阻塞赋值是一种理想化的数据传输,赋值立即发生,不存在延时。②执行阻塞赋值时,先计算驱动表达式的值,然后即刻完成赋值,目标变量立即获得更新,且在此过程中其他同类赋值语句必须停止工作,即所谓“阻塞”。③阻塞赋值语句的执行类似于软件语言语句的执行,即具有顺序执行的特点。阻塞赋值语句的先后顺序将直接影响综合的结果。④在过程中,允许对同一目标变量进行多次赋值和驱动,“=”左边目标变量的值将随赋值语句前后顺序的运算而改变。

非阻塞赋值语句的执行过程可以分成三步:计算驱动表达式的值;延时δ时间;完成赋值(目标变量获得更新)。①非阻塞赋值比较接近实际电路的运行,从综合的角度考虑到电路的延时性和并行性。②执行非阻塞赋值时,先计算驱动表达式的值(理论上立即完成无需耗时),随后启动一个δ延时器(δ延时时间就是过程的运行时间),延时时间到(过程执行结束),此时才完成赋值,目标变量才被更新。③延时时间段允许执行其他同类赋值语句,即所谓“非阻塞”。由于其他同类赋值语句驱动表达式的运算也不花费时间,所以所有语句的延时起始时刻和延时时间长短是相同的,延时时间结束,所有语句的目标变量同时被更新,可以理解成赋值语句并行执行。④非阻塞赋值语句的并行执行可以理解成:首先按顺序计算“<=”右侧表达式的值,然后经过δ延时,<=左侧的目标变量同时获得更新值(同时完成赋值)。⑤在过程中,允许对同一目标变量进行多次赋值或驱动(作为并行语句的连续赋值语句不允许这种现象),被赋值目标变量接受最接近过程结束的那一个驱动源数据。⑥过程启动后,所有非阻塞和阻塞赋值语句,都必须在一个δ延时中完成,其中阻塞赋值在δ延时前已按顺序完成所有赋值,但非阻塞赋值这时刚进入赋值的第一步,且必须在δ延时后同时完成赋值。⑦如果有两个过程,一个过程中全部是阻塞式赋值,一个过程中全部是非阻塞式赋值,它们的执行周期是相同的,不会有谁比谁更快的现象。

6.简述if语句的几种主要结构

答:①if(条件表达式) begin 语句块;end

②if(条件表达式) begin 语句块1;end

else begin 语句块2;end

③if(条件表达式1) begin 语句块1;end

else if(条件表达式2) begin 语句块2;end

else if(条件表达式n) begin 语句块n;end

else begin 语句块n+1;end

7.简述Moore型和Mealy型状态机的区别

答:Mealy型状态机的输出是当前状态和所有输入信号的函数,它的输出是在输入变化后立即发生的,不依赖时钟的同步。Moore型状态机的输出则仅为当前状态的函数,这类状态机在输入发生变化时还必须等待时钟的到来,时钟状态发生变化时才导致输出的变化,所以比Mealy机要多等待一个时钟周期。

1.8选1数据选择器

module

MUX81a(a,b,c,d,e,f,g,h,s2,s1,s0,y); input a,b,c,d,e,f,g,h,s2,s1,s0; output y;

reg y;

always @(a,b,c,d,e,f,g,h,s2,s1,s0) begin : MUX81 case({s2,s1,s0})

3'b000:y<=a;

3'b001:y<=b;

3'b010:y<=c;

3'b011:y<=d;

3'b100:y<=e;

3'b101:y<=f;

3'b110:y<=g;

3'b111:y<=h;

default:y<=a;

endcase

end

endmodule

module

MUX81a(a,b,c,d,e,f,g,h,s2,s1,s0,y); input a,b,c,d,e,f,g,h,s2,s1,s0; output y;

reg [2:0] sel;

reg y;

always @(a,b,c,d,e,f,g,h,sel) begin

sel={s2,s1,s0};

if(sel==0) y=a;

else if(sel==1) y=b;

else if(sel==2) y=c;

else if(sel==3) y=d;

else if(sel==4) y=e;

else if(sel==5) y=f;

else if(sel==6) y=g;

else y=h;

end

endmodule

2.一位全加器

module h_adder(a,b,so,co); input a,b;

output so,co;

assign {co,so}=a+b; endmodule

module or2a(a,b,c); output c; input a,b;

assign c=a|b;

endmodule

module f_adder(ain,bin,cin,cout,sum);

output cout,sum;

input ain,bin,cin;

wire e,d,f;

h_adder u1(ain,bin,e,d);

h_adder

u2(.a(e),.so(sum),.b(cin),.co(f));

or2a u3(.a(d),.b(f),.c(cout));

endmodule

3.十进制计数器(异步复位,同步计数使能,可预置型)

module CNT10 (CLK, RST, EN,LOAD, COUT,DOUT,DATA);

input CLK,EN,RST,LOAD;

input [3:0] DATA;

output[3:0] DOUT;

output COUT;

reg[3:0] Q1;

reg COUT;

assign DOUT=Q1;

always @(posedge CLK or

negedge RST)begin

if (!RST) Q1<=0;

else if(EN) begin

if(!LOAD) Q1<=DATA;

else if(Q1<9) Q1<=Q1+1;

else Q1<=4’b0000;end

end

always @ (Q1)

if(Q1==4’h9) COUT=1’b1;

else COUT=1’b0;

endmodule

4.移位寄存器(8位右移)

module SHFT1 (CLK, LOAD,DIN,QB); output QB;

input CLK,LOAD;

input [7:0] DIN;

reg [7:0] REG8;

always @ (posedge CLK) begin

if(LOAD) REG8<=DIN;

else REG8[6:0]<=REG8[7:1];end assign QB=REG8[0]; endmodule

5.优先编码器(8-3线)

module mux4_1 (DIN,DOUT); output [0:2] DOUT;

input [0:7] DIN;

reg [0:2] DOUT;

always @ (DIN)

begin

if (DIN[7]==0) DOUT=3’b000;

else if (DIN[6]==0) DOUT=3’b100;

else if (DIN[5]==0) DOUT=3’b010;

else if (DIN[4]==0) DOUT=3’b110;

else if (DIN[3]==0) DOUT=3’b001;

else if (DIN[2]==0) DOUT=3’b101;

else if (DIN[1]==0) DOUT=3’b011;

else DOUT=3’b111;

end

endmodule

6.占空比为50%的任意奇数五分频电路

module FDIV3 (CLK,K_OR,K1,K2); input CLK;

output K_OR,K1,K2;

reg [2:0] C1,C2;

reg M1,M2;

always @ (posedge CLK) begin

if(C1==4) C1<=0; else C1<=C1+1;

if(C1==1) M1<=~M1;

else if(C1==3) M1=~M1;

end

always@(negedge CLK) begin

if(C2==4) C2<=0;

else C2<=C2+1;

if(C2==1) M2<=~M2;

else if(C2==3) M2=~M2;

end

assign K1=M1;

assign K2=M2;

assign K_OR=M1|M2;

endmodule

7.序列检测器的状态机设计(8位11010011)(Moore型、mealy型)

module SCHK(CLK,DIN,RST,SOUT);

input CLK,DIN,RST;

output SOUT;

parameter s0=40,s1=41,s2=42,s3=43,s4=44,s5=45,s6=46,s7= 47,s8=48;

reg [8:0] ST,NST;

always @(posedge CLK or posedge RST)

if(RST) ST<=s0;

else ST<=NST;

always @(ST or DIN) begin

case (ST )

s0 : if(DIN==1’b1) NST<=s1;else NST<=s0;

s1 : if(DIN==1’b1) NST<=s2;else NST<=s0;

s2 : if(DIN==1’b0) NST<=s3;else NST<=s0; s3 : if(DIN==1’b1) NST<=s4;else NST<=s0; s4 : if(DIN==1’b0) NST<=s5;else NST<=s0; s5 : if(DIN==1’b0) NST<=s6;else NST<=s0; s6 : if(DIN==1’b1) NST<=s7;else NST<=s0; s7 : if(DIN==1’b1) NST<=s8;else NST<=s0; s8 : if(DIN==1’b0) NST<=s3;else NST<=s0; default: NST<=s0;

endcase

end

assign SOUT=(ST==s8);

endmodule

module SCHK(CLK,DIN,RST,SOUT);

input CLK,DIN,RST;

output SOUT;

parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7,s8=8; reg [8:0] ST;

reg SOUT;

always @(posedge CLK) begin

SOUT=0;

if(RST) ST<=s0; else begin

casex (ST )

s0 : if(DIN==1’b1) ST<=s1;else ST<=s0;

s1 : if(DIN==1’b1) ST<=s2;else ST<=s0;

s2 : if(DIN==1’b0) ST<=s3;else ST<=s0; s3 : if(DIN==1’b1) ST<=s4;else ST<=s0; s4 : if(DIN==1’b0) ST<=s5;else ST<=s0; s5 : if(DIN==1’b0) ST<=s6;else ST<=s0; s6 : if(DIN==1’b1) ST<=s7;else ST<=s0; s7 : if(DIN==1’b1) ST<=s8;else ST<=s0; s8 : begin SOUT=1;

if(DIN==1’b0) ST<=s3;else ST<=s0; end default: ST<=s0;

endcase end

end

endmodule

1编程实现3-8译码器,要求该译码器具有同步使能与复位功能,(当复位时所有的输出为高电平)

module dec38( input [2:0]code, output reg[7:0]result );

always@(*)

begin case(code)

3'b000: result = 8'h01;

3'b001: result = 8'h02;

3'b010: result = 8'h04;

3'b011: result = 8'h08;

3'b100: result = 8'h10;

3'b101: result = 8'h20;

3'b110: result = 8'h40;

3'b111: result = 8'h80;

endcase

end

endmodule

module decoder38(

input [2:0]code,

output reg[7:0]result

);

always@(*)

begin

if(code[2])

if(code[1])

if(code[0])

result = 8'h80;

else

result = 8'h40;

else

if(code[0])

result = 8'h20;

else

result = 8'h10;

else

else

if(code[1])

if(code[0])

result = 8'h08;

else

result = 8'h04;

else

if(code[0])

result = 8'h02;

else

result = 8'h01;

else

end

endmodule

2.编程实现计数器,功能要求:31进制,递增计数,异步清零,同步预加载计数功能

module

Dcnt(reset_n,clk,in_ena,cnt,carry_ena); input clk;

input reset_n;

input in_ena;

output [4:0]cnt;

output carry_ena;

reg [4:0]cnt;

reg carry_ena;

always@(posedge clk or negedge reset_n) begin

if(!reset)

cnt <= 5'b0;

else if(in_ena && cnt==5'd31)

cnt <= 5'b0;

else if (in_ena && cnt < 5'd31)

cnt <= cnt + 1'b1;

end

always@(posedge clk or negedge

reset_n) begin

if(!reset)

carry_ina <= 1'b0;

else if(in_ena && cnt==5'd31)

carry_ina <= 1'b1;

else

carry_ina <= 1'b0;

end

endmodule

3.编程实现8位移位寄存器,功能要求:同步预置移位初值,串行输出,双向移位,同步复位(复位时输出清零)

module fifo(clr,clk,din,LorR,dout) input clr,clk,din;

input LorR;

output [7:0]dout;

reg [7:0] fifo; assign dout=fifo;

always@( posedge clk)

if(clr)

fifo<=0;

else

if(LorR)

fifo<={fifo[6:0],din};

else

fifo<={din,fifo[7:1]};

endmodule

module

FSM_EXP(clk,reset,state_inputs,comb _outputs);

input clk,reset;

input [1:0] state_inputs;

output [3:0] comb_outputs;

reg [3:0] comb_outputs;

parameter S0=0,S1=1,S2=2,S3=3; reg [4:0] c_st,next_state;

always @(posedge clk or negedge reset) begin

if(!reset) c_st<=S0; else c_st<=next_state;end

always @(c_st or state_inputs) begin

case(c_st)

S0:begin comb_outputs<=1;

if(state_inputs==2’b00)

next_state<=S0;

else next_state<=S1; end

S1:begin comb_outputs<=2;

if(state_inputs==2’b00)

next_state<=S1;

else next_state<=S2; end

S2:begin comb_outputs<=4;

if(state_inputs==2’b00)

next_state<=S2;

else next_state<=S3; end

S0:begin comb_outputs<=8;

if(state_inputs==2’b11)

next_state<=S3;

else next_state<=S0; end

endcase

end

endmodule

《工程项目管理》在线作业及答案

《工程项目管理》在线作业1及答案 一、单选题(共10道试题,共60分。) V 1.()是指将项目的总工期目标分解,确定项目范围内各工程活动的工作量、持续时间,通过逻辑关 系安排和网络分析,确定各个工程活动开始和结束时间。 A. 资源计划 B. 工期计划 C. 资金计划 D. 成本计划 满分:6分 2.项目的投资目标、进度目标和质量目标是()的关系。 A. 对立 B. 统一 C. 矛盾 D. 对立统一 满分:6分 3.()是项目前期决策阶段最重要的工作。 A. 项目目标设计 B. 项目构思的产生 C. 项目可行性研究 D. 提出项目建议书 满分:6分 4.BOT融资方式中的“O”代表()。 A. 建造 B. 设计 C. 运营

D. 移交 满分:6分 5.从项目构思到项目批准正式立项的阶段称为()。 A. 项目的前期策划阶段 B. 项目构思阶段 C. 项目目标设计阶段 D. 项目可行性研究阶段 满分:6分 6.项目组织形式的选择必须按照具体情况分析,若企业同时承担的项目(或子项目)很多,必须采用() 的组织形式。 A. 矩阵式 B. 职能式 C. 直线型 D. 寄生式 满分:6分 7.()指投资者(项目所有者)委派业主代表,成立项目经理部,以业主的身份开展项目的整个管理工 作,直接管理承包商、供应商和设计单位。 A. 业主自行管理 B. 混合式的管理模式 C. 代理型管理模式 D. 其他形式 满分:6分 8.工程项目的(),即项目任务的委托方式。 A. 组织结构 B. 承发包模式 C. 融资方式 满分:6分 9.工程项目通常都有特定的目标,这些目标通常不包括()。

工程项目管理复习资料

工程项目管理复习资料 一、名词解释 质量:质量是指一组固有特性满足要求的程度。 时限:时限是指网络计划或其中的工作因外界因素影响而在时间安排上所受到的某种限制。工程项目投资:工程项目投资是指进行某项工程建设全过程所花费全部费用的总和。 事件:事件是指双代号网络图中,工作开始或完成的时间点。 施工图设计文件审查:施工图设计文件审查是指指建设行政主管部门委托依法认定的设计审查机构,依据法律、法规、技术标准与规范,对施工图进行的结构安全和强制性标准、规范执行情况进行的审查。 工程项目投资控制:工程项目投资控制是指在投资决策阶段、设计阶段、发包阶段、施工阶段以及竣工阶段,把建设工程投资控制在批准的限额以内,随时纠正发生的偏差,以保证投资管理目标的实现,以求在建设工程中能合理使用人力、物力、财力,取得较好的投资效益和社会效益。 绿色建筑:绿色建筑在全寿命期内,最大限度地节约资源(节能、节地、节水、节材)、保护环境、减少污染,为人们提供健康、适用和高效的使用空间,与自然和谐共生的建筑。风险评估:风险评估是指在风险识别的基础上,对风险发生的概率和损失程度,结合其他因素进行全面考虑,评估发生风险的可能性及危害程度,并与公认的安全指标相比较,以衡量风险的程度,并决定是否需要采取相应的措施的过程。 二、单项选择题 检查网络计划时,发现某工作尚需作业a天,到该工作计划最迟完成时刻尚剩b天,原有总时差为c天,则该工作尚有总时差为( D )天。 A.c-a B.c-b C.a-b D.b-a 双代号网络计划中引入虚工作的一个原因是为了( C )。 A.表达不需要消耗时间的工作 B.表达不需要消耗资源的工作 C.表达工作间的逻辑关系 D.节省箭线和节点 关于工程质量影响因素的说法,错误的是( B )。 A.加强环境管理,改进作业条件,是控制环境对质量影响的重要保证 B.工程所用机具设备,其产品质量优劣直接影响工程使用功能质量 C.“人”指所有与工程施工相关的决策者、管理者和作业者 D.工程材料是工程施工的物质条件 债务人将动产或权利移交给债权人进行担保的方式为(C )。 A. 保证 B. 抵押 C. 质押 D. 留置 担保合同是属于(B )。 A. 主合同 B. 从合同 C. 分包合同 D. 无偿合同 在某工程网络计划中,已知工作M的总时差和自由时差分别为4天和2天,检查实际进度时发现该工作的持续时间延长了5天,说明此时工作M的实际进度( D )。 A.既不影响总工期,也不影响其后续工作的正常进行 B.不影响总工期,但将其紧后工作的开始时间推迟5天 C.将其后续工作的开始时间推迟5天,并使总工期延长3天 D.将其后续工作的开始时间推迟3天,并使总工期延长1天

西安交通大学研究生面向对象技术考试要点

1.绪论 软件=程序+数据+文档;软件工程=过程+方法+工具(过程:when、in what order方法:what);软件过程:是指一套关于项目的阶段、状态、方法、技术和开发、维护软件的人员以及相关Artifacts(计划、文档、模型、编码、测试、手册等)组成。三种方法:UP(the unified process),The OPEN Process,OOSP(The Object-Oriented Software Process)。 2.软件工程模型回顾 软件过程的基本模型:线性顺序模型:瀑布模型(Waterfall);进化模型:原型法模型(Prototyping);基于构件的开发模型(Component-Based Development)。迭代模型:增量模型(Incremental);螺旋模型(Spiral)其他(Formal,RAD,4GT) 2.1瀑布模型(Royce,1970)历史最悠久、应用最广泛,虽然Royce 提出的瀑布模型支持反馈环,但大多数使用该过程模型的机构均将其视为严格线性的过程模型。瀑布模型的流程(无反馈环):分析→设计→编码→测试。 缺点:①实际项目很少按照该模型给出的流程进行。虽然线性容许迭代,但这种迭代是间接的,且极容易导致混乱。 ②客户常常很难清楚地给出所有需求,但该模型却要求非得如此,并且不能忍受项目开始阶段自然存在的不确定性。 ③客户必须有足够的耐心,因为软件产品的运行版本一直要等到项目开发周期的晚期才能得到。④如果直到检查运行程序时才发现大的错误,其后果很有可能是灾难性的。⑤线性顺序会导致“阻塞状态”,即等待时间> 开发时间。 2.2原型法模型(Bernard Boar,1984)帮助客户明确其需求(以增量方式进行)原型法模型的流程(环状)规格描述、开发和验证等阶段交织在一起;原型的本质:“口香糖+ 打包绳”。 优点①开发者与客户之间的误解,可通过对系统功能的“示范”而被识别出来。②客户在使用原型法模型期间,可以发现新的需求或找出未发觉的问题。③可大量节约开发成本,并可提高系统的应变能力。 缺点①原型只包含局部功能,难以掌握系统的整体动态状况。②许多机构认为在原型上花费过多资源是一种浪费,因为原型一般(二般呢?……) 无法成为真正的系统而必须抛弃。③维护工作较为困难。 2.3基于构件的开发(Component-Based Development,CBD)出发点:复用;基础:庞大的可复用软件构件库+ 构件的集成框架;CBD 的典型代表:统一软件开发过程(Unified Software Development Process,USDP)优点①能显著减少软件开发工作量(70%),从而能显著降低开发成本(84%) 和开发风险。②软件产品可以尽快交付客户。缺点①需求折衷无法回避,可能导致系统与客户实际需求背离。②当可复用构件的新版本不受开发者的掌控时,系统的演化能力将可能受损。未来:面向对象技术将使CBD 如虎添翼,因为面向对象技术能够开发出大量可复用的构件。 2.4增量模型:融合了瀑布模型的基本成分和原型法模型的进化特征;每一个线性序列产生软件的一个可发布的“增量”;任何增量的处理流程均可以结合原型法模型;第一个增量往往是核心的产品 增量模型vs.原型法模型:与原型法模型最大的不同在于,增量模型特别强调每一个增量均发布一个可操作产品,亦即早期的增量是最终产品的“可拆卸”版本。优点①不必等到最终产品完成,客户便可以从早期增量受益。②客户可以把早期增量作为原型,并为后期增量提出需求。③尽管某些增量可能存在问题,但是整个项目的风险较低。局限性①增量不能太大②每个增量均应具备一定功能③客户需求与增量大小之间的映射应匹配。发展:极限编程。 2.5螺旋模型(Boehm,1988)吸收了瀑布模型和原型法模型的优点;增加了风险分析;使软件的增量版本的快速开发成为可能。优点①使用原型实现作为降低风险的机制。②在系统开发初期,风险性高的部分首先被考虑,从而能及早发现错误、降低风险并减少开发成本。③在编写软件时,已有产品可供运行或“示范”。 缺点①客户对该模型的可控性常常产生疑虑。②开发者需要具备和掌握较多风险评估的知识和技术。③如果某个大风险未被及时考虑,会给后续开发造成困难。 2.6形式化模型(Formal)的局限性:开发很费时、很昂贵。具有使用形式化模型所必需背景的开发者寥若晨星,尚需多方面的培训。当客户对形式化模型一无所知时,开发者无法将该模型作为和客户进行通信的机制。 2.7快速应用开发(RAD)强调极短的开发周期;是线性顺序模型的一个“高速”变种;与增量模型具有相似性;通过使用基于构件的建造方法实现快速开发;RAD主要用于信息系统开发。缺点①对于大型软件开发项目必须有足够的人力资源支持②要求客户和开发者均应在短的时间框架内完成各自相应的快速活动,任何一方爽约均会导致项目失败③当系统难以模块化时,或者当高性能是系统的主要指标时,RAD 将可能失效④RAD不适合技术风险高的情况2.8第四代技术(4GT)是多种软件过程模型的综合;包含了一系列软件工具;开发者在更高抽象层次上描述软件规格;软件工具根据开发者的软件规格描述自动生成源代码。优点①显著缩短了软件的开发时间②显著提高了建造软件的生产率。缺点①目前的4GT 工具易用性不够高②目前的4GT 工具生成的源代码太低效③使用4GT 工具开发的大型软件产品的可维护性令人生疑。未来:4GT与基于构件的模型相结合后,将可能成为软件开发的主流方法。

西南大学网络教育《工程项目管理》在线作业及答案

工程项目管理 第二次 [单选题]涉及项目整个实施阶段的是()的项目管理规划。 A :业主方 B :设计方 C :施工方 D:供货方 参考答案: A [多选题]施工方项目管理规划的内容一般包括() A :质量控制的方法和手段 B :进度控制的方法和手段 C :投资控制的方法和手段 D:安全管理的策略 E:风险管理的策略 参考答案: ABDE [论述题]生产管理要素的意义、目的和任务是什么?参考答案:生产管理要素的意义:生产要素也称为资源,它是工程实施必不可少的前提条件。资源费用一般占工程总费用的80%以上,所以降低资源消耗是节约工程成本的主要途径。保证资源的供应,是工期计划得以实行的必要条件。 生产管理要素的任务:按照项目的实施计划,编制资源的使用和供应计划,将项目实施所需用的资源按正确的时间、正确的数量、正确的种类规格供应到正确的地点,并降低资源成本消耗。 生产管理要素的目的:实现生产要素的优化配置和动态管理,从而降低工程成本,实现工期控制要求,保证工程质量,提高经济效益。 [论述题]试论述工程项目前期策划的一般过程和主要工作内容?参考答案:在策划阶段,项目管理者需完成下述几项工作: (1)与业主和项目班子中现有成员一道对项目大纲进行审查,确定其能够满足业主的目标要求;编制正式大纲的书面文本。(2)通过与业主和专业工程师协商,建立工程建设项目管理组织结构,确定各参与方的作用和责任,包括与业主联系的方式和途径。(3)与有关参与方密切联系,确保作出合理的安排以满足有关建筑法规的要求。(4)确保从设计阶段最初的方案设计到施工图设计过程中,都要进行多方案的技术经济分析,并视可能性采用各种行之有效的技术分析方法例如“价值工程”方法。(5)选择其他相 关参与方,并开展如下工作:编制和发布招标文件;进行评标,提供评标报告和建议;协助业主准备合同文件,作出选择和委托。(6)对工程建设项目的风险进行评估,并在项目预算中加入适当的不可预见费。制定项目实施中的风险管理程序,并将其作为一项连续性的工作。(7)确定和编制与项目目标和费用、 工期、质量、功能及财务等参数最相适应的合同形式。(8)协助业主进行场地选择、现场调查和获得土地。(9)决定某些工作,如:安装、设施占用、移交等,是否构成独立的项目,并据此进行清楚而详细地给岀工程建设项目组织结构,并描述在整个项目实施过程中相互作用的各参与方如何履行其各自的职责。同时该组织结构也阐明了监督和控制有关具体管理工作的程序和步骤。

园林工程项目管理复习资料

第一章园林建设工程项目管理概述 1、园林工程施工管理就是根据园林工程的现场情况,结合园林工程的设计要求,以先进的、科学的施工方法与组织手段将人力和物力、时间和空间、技术和经济、计划和组织等诸多因素合理优化配置,从而保证施工任务依质量要求按时完成。 2、园林工程项目系统的组成 (1)园林工程建设总项目 (2)单项园林工程 (3)单位园林工程 (4)分部园林工程 (5)分项园林工程 3、园林工程施工项目的建设程序 (1)准备阶段:a勘察场地b项目建议书c可行性研究报告d项目立项 (2)设计阶段:a初步设计b施工图设计c技术设计 (3)施工阶段:a施工准备b施工 (4)验收阶段:a竣工验收b养护管理 第二章园林工程的招标与投标 1、工程承包

在工程项目建设市场中,作为供应者的企业(即承包人),对作为需求者的建设 单位(即发包人)作出承诺,负责按对方的要求完成某一建设工程的全部或部分工作,并按商定的价格取得报酬。 2、工程招投标 是由唯一的买主(卖主)设定标底,招请若干个卖主(买主)通过秘密报价进行竞争,从中选择优胜者与之达成交易协议,随后按协议实现标底。 3、招标方式 (1)公开招标 招标人以招标公告的方式邀请不特定的法人或其他组织投标,然后以一定的形式公开竞争,达到招标目的的全过程。 特点:给一切有法人资格的承包商以平等机会参加投标,选择范围大;有利竞争,打破垄断;提高工程质量;缩短工期和降低造价。但招标工作量大,费用支出较多。 (2)邀请招标 招标人以投标邀请书的方式邀请三个以上特定的法人或其他组织投标。 特点:节约招标费用,缩短招标时间,缺点:激烈的竞争程度较低,提高中标的合同价。 4、标底:招标工程的预期价格。 第三章园林工程合同管理 1、园林建设工程施工合同

《理论力学基本教程》课程大纲

《理论力学基本教程》课程大纲第一部分:课程性质、课程目标与教学要求《理论力学基本教程》作为理论物理学的第一门课程,是高等师范院校物理 专业的一门基础理论课,因此把它设定为物理专业的本科专业必修课程。 《理论力学基本教程》的课程目标是:使学生系统地掌握理论力学的基本概念,基本规律及其中的物理思想和研究方法,具备分析问题和解决问题的能力,并为后继相关课程奠定基础;同时结合本课程特点,培养学生的辩证唯物主义世界观。 《理论力学基本教程》作为后续理论课程的基础课,并与高等数学密切相关,不仅要介绍物体的机械运动规律,还要引导学生如何应用数学去描写和分析物理问题;同时作为科学就必须使用严谨的方法去表达,去描写,去推演,去总结自然规律,因而我们重点放在培养学生正确理解和应用基本概念,基本方法上,在教学过程中注重贯彻少而精的原则,密切联系物理实际问题,注重培养分析问题和解决问题的能力。为此学习者必须先学习大学物理、线性代数、高等数学等课程,同时加强课后练习来帮助加深对该课程教学内容的理解。 第二部分:关于教材与学习参考书的建议 本课程拟采用科学出版社出版的、由管靖等人编写的《理论力学简明教程》作为本课程的主教材。 为了更好地理解和学习课程内容,建议学习者可以进一步阅读以下几本重要的参考书: 1、卢圣治主编:《理论力学基本教程》,北京师范大学出版社,2004年。 2、陈世民主编:《理论力学简明教程》,高等教育出版社,2001年。 3、周衍柏主编:《理论力学教程(第二版)》, 高等教育出版社出版,1986年。 4、金尚年等主编:《理论力学(第二版)》,高等教育出版社,2002年。 5、吴德明主编: 《理论力学基础》,北京大学出版社,1995年。 6、张宏宝主编: 《理论力学教程学习辅导书》,高等教育出版社,2004年。 7、H.戈德斯坦[美]著:《经典力学》(第二版),科学出版社,1996 年。 第三部分:教学内容与考试要求 绪论第一章质点运动学 §1.1质点运动的矢量描述与直角坐标描述 §1.2 质点运动的平面极坐标描述 §1.3质点运动的柱坐标描述 §1.4质点运动的球坐标描述 §1.5质点运动的自然坐标描述 本章要求: 1.掌握在直角坐标系、极坐标系、柱坐标、自然坐标系中描述质点运动的状态(位移、速度、加速度)和在球坐标系中质点速度表示式,并会推导质点的位移、速度、加速度在平面极坐标系、自然坐标系的分量式。(注意矢量要用

UML面向对象技术期末复习整理

UML面向对象技术期末复习整理 第一章 1、UML支撑软件整个生命周期 2、对象:面向对象系统的基本构造块,是一些相关的变量和方法的软件集 3、事件:指一种由系统预先定义而由用户或系统发出的动作 4、面向对象的基本特征:抽象,封装,继承,多态 5、模型:对现实客观世界的形状或状态的抽象模拟和简化 6、UML定义:是对软件密集系统进行可视化建模的一种语言,也是为面向对象开发系统的产品进行说明、可视化、构造和编制问的一种标准语言 7、UML可贯穿软件开发周期的每一阶段,最适用于数据建模、业务建模、对象建模、组件建模 填空题 1、统一建模语言UML是绘制软件蓝图的标准工具语言,可以对软件系统产品进 行说明、可视化、构造和编制文档 2、UML在实际软件项目中,可以用于构造各种类型系统的业务模型和软件模 型。 3、软件的开发模式有瀑布模型、喷泉模型、基于构件的开发模型和XP方法。 4、面向对象程序的三大要素是多态、封装和继承。 5、瀑布模型的缺点是缺乏灵活性,特别是无法解决软件需求不明确或不准确的 问题。 选择题 1、对象程序的基本特征是:抽象、封装、继承、多态 2、类包含的要素有:名字、属性、操作 3、下列关于类与对象的关系说法不正确的是:有些对象是不能被抽象类的 4、面向对象方法中的继承机制是子类可以自动地拥有(复制)父类全部属性和 操作 5、建立对象的动态模型一般包含的步骤有:准备脚本、确定事件、准备事件 跟踪表、构造状态图 第二章 1、UML的概念和模型分为静态结构、动态行为、实现构造、模型组织和扩展机制这几个机制 2、面向对象的事物分为:结构事物、行为事物、分组事物、注释事物

(完整word版)工程项目管理 期末考试复习资料

工程项目管理期末复习资料 一、名词解释3′×5 1.施工组织设计 施工组织就是针对工程施工的复杂性,对工程建设进行统筹安排与系统管理,对施工项目中的所有的施工活动进行组织、计划,以寻求最合理的组织与方法。 2.公开招标和邀请招标 1)公开招标。公开招标也称“无限竞争招标”,是指招标人以招标公告的方式邀请不特定的法人或者其他组织投标。 2)邀请招标。邀请招标也称“有限竞争性招标”,是指招标人以投标邀请书的方式邀请特定的法人或者其他组织投标。 3.流水步距与流水节拍 1)、在组织流水施工时,相邻施工过程(逻辑关系上前后相连)两专业工作队在保证施工顺序、满足连续施工、最大限度搭接和保证工程质量要求的条件下,相继投入施工的最小时间间隔,称为流水步距,用符号Ki,i+1表示。 2)、流水节拍是指每个专业工作队在各个施工段上完成相应的施工任务所需要的工作延续时间,或某一施工过程在一个施工段中进行施工作业的持续时间,通常以符号ti表示 4.总时差和自由时差 1)总时差是指项目所允许的最大机动余地,在总时差范围内的推迟不影响总工期。 2)自由时差是指这个活动不影响其他活动的最大机动余地,则必须按该活动与其他活动的搭接关系来确定自由时差。 5.工程项目策划 工程项目策划指的是通过调查研究和收集资料,在充分占有信息的基础上,针对工程目的决策和实施,或实施和决策中的某个问题,进行组织,管理,经济和技术等方面的可行性科学分析和论证,目的在于保证工程项目完成后获得满意可靠的经济效益,社会效益和环境效益提供科学依据.。 二、选择题2′×5 1.以下()不属于项目的特证。P3 B.具有特定的制约条件 2.邀请招标是招标人邀请特定的法人或其他组织参加投标,邀请对象一般不少于()个。 A.3 3.以下()不属于投标决策的内容 B.如何建立投标组织 4.施工段数m与施工过程数n满足以下()关系能保证个专业队能连续施工。 A.m ≥n 5.在组织异节奏流水施工中,当紧前流水节拍ti 大于紧后流水节拍t i+1时流水步距kij+1应在以下()确定,才能保证两工序在最后一个施工段上保持最大限度的搭接及紧后工序的连续施工。 C kij+1=m·ti—(m—1)·ti+1 6.某工程有三个施工过程,流水节拍分别为t1=2d,t2=6d,t3=4d现组织等步距异节奏流水,施工段应取() C 6 7.某工程相邻两个施工过程A和B在各施工段流水节拍分别为A(3,2,2,4)和B(1,3,5,3)则按照最大差法计算出A和B之间的最大流水步距为() A3d

理论力学学习心得

篇一:理论力学学习体会 理论力学学习体会 —理论力学所培养的能力 习每一门科目都会给我们带来一种能力的培养,学习数学是去学习思维,学习历史是去学习智慧......那么学习理论力学呢? 很多 人觉得理论力学很枯燥,学起来的时候感觉彻底颠覆了自己的思维,像高中学习的物理什么的 都变成错的了,有时候解下一道题时又感觉上一道的理论是错的,最后都不知道到底该用哪种 方法去理解了。其实,这只是在初学的时候所有的感觉。 理论 力学的学习本身就是一种思维的学习,不过又不仅仅是这样,其中的实际问题的探讨又能帮助 我们提高解决实际问题的能力,看待事物的灵活性等等。 中,一题多解的例子更多,可以用动力学普遍定理求解,也可以用达朗贝尔原理求解,或用动 力学普遍方程求解.我们在学习过程中,相同题型尽量用不同方法求解,做到各种方法融会贯 通.久而久之,就会使我们的思维变得灵活,遇到问题勤于思考、善于思考,广开思路,通过 自己的探索,找出最佳方案. 利用 知识之间的内在联系增强创新意识。 抓住 概念与定理之间的逻辑关系培养逻辑思维能力。 的绝对运动,先将其看作由相对运动、牵连运动组合而成,然后研究三种运动之间的速度关 系、加速度关系,再利用这些关系求解绝对运动的速度、加速度.在学习这些内容时,我们 要善于思考,然后注意分析的过程和解决的办法.一旦理解了这些解决问题的思路,就可以 触类旁通,并灵活应用. 借助 多种形式培养表达能力。受力分析时,需要准确、清晰地画出受力图;运动分析时,需要准 确、清晰地画出速度图、加速度图;计算求解时,需要列出各种方程式。通过这些,可以培养 我们的图像以及数学语言的表达能力。

面向对象建模技术-复习试卷

《面向对象建模技术》期末考试试题(A卷) 考试时间:2014年11月9日班级学号姓名 ?请将答案写在答题册上,写明题号,不必抄题,字迹工整、清晰; ?请在答题册和试题纸上都写上你的班级,学号和姓名,交卷时请将试题纸、答题册和草纸 一并交上来。 一、选择题,每题1分,共计25分。每个括号里的数字为该空的题号,从该题号对应的备 UML中有多种类型的图,其中,(1)对系统的使用方式进行分类,(2)显示了类及其相互关系,(3)显示人或对象的活动,其方式类似于流程图,通信(协作)图显示在某种情况下对象之间发送的消息,(4)与通信(协作)图类似,但强调的是顺序而不是连接。 (1) A. 用例图 B. 顺序图 C. 类图 D. 活动图 (2) A. 用例图 B. 顺序图 C. 类图 D. 活动图 (3) A. 用例图 B. 顺序图 C. 类图 D. 活动图 (4) A. 用例图 B. 顺序图 C. 类图 D. 活动图 下图属于UML中的(5),其中,AccountManagement需要(6)。 (5) A. 组件图 B. 部署图 C. 类图 D. 对象图 (6) A. 实现IdentityVerifier接口并被CreditCardServices调用 B. 调用CreditCardServices实现的IdentiyVerifier接口 C. 实现IdentityVerifier接口并被Logger调用 D. 调用Logger实现的IdentityVerifier接口

下列对状态图描述不正确的是(7) (7) A. 状态图通过建立类对象的生命周期模型来描述对象随时间变化的动态行为 B. 状态图适用于描述状态和动作的顺序,不仅可以展现一个对象拥有的状态,还可与说 明事件如何随着时间的推移来影响这些状态 C. 状态图的主要目的是描述对象创建和撤销的过程中资源的不同状态,有利于开发人员 提高开发效率 D. 状态图描述了一个实体基于事件反应的动态行为,显示了该实体如何根据当前所处状 态对不同的事件作出反应 在ATM自动取款机的工作模型中(用户通过输入正确的用户资料,从银行取钱的过程),下面哪个不是“Actor”(8 ) (8) A. 用户 B. A TM取款机 C. ATM取款机管理员 D. 取款 (9) 反映类、接口或构件的内部协作,用于表达运行时的体系结构、使用模式及关系。(9)A. composite structure diagram B. deployment diagram C. use case diagram D. sequence diagram 在RSA中包含很多模型模板,供开发者在系统建模时选用。(10)不是RSA提供的模型模板。 (10)A. Analysis Model B. Service Design Model C. XSD Model D. Eclipse plug-in Model 在UML的各种视图中,(11)显示外部参与者观察到的系统功能;(12)从系统的静态结构和动态行为角度显示系统内部如何实现系统的功能;(13)显示的是源代码以及实际执行代码的组织结构。在 ROSE中,时序图和协作图(或通信图)通常建立在(14)下的use case realization包中。 (11)A. 用例视图 B. 进程视图 C. 实现视图 D. 逻辑视图(12)A. 用例视图 B. 进程视图 C. 实现视图 D. 逻辑视图(13)A. 用例视图 B. 进程视图 C. 实现视图 D. 逻辑视图(14)A. 用例视图 B. 进程视图 C. 实现视图 D. 逻辑视图 在UML语言中,下图中的a、b、c三种图形符号按照顺序分别表示(15)。 a b c 15.供选择的答案: A. 边界对象、实体对象、控制对象 B. 实体对象、边界对象、控制对象 C. 控制对象、实体对象、边界对象 D. 边界对象、控制对象、实体对象 在建立系统的用例模型时,首先应该确定系统的参与者。以下问题中,对于确定参与者没有用处的是(16)。 16.供选择的答案: A. 谁是系统的主要用户,即谁使用系统的主要功能

工程项目管理复习资料全

第一,二章复习 掌握: 项目、工程项目、建筑工程项目的概念和相互之间的关系 项目是由一组有起止的,相互协调的受控活动所组成的特定过程,该过程要达到符合规定要求的目标,包括时间,成本和资源的约束条件;工程项目是形成工程实体(建筑物、构造物)的项目活动,既有投资行为,又有建设行为(课件:凡是最终成果是‘工程’的项目,均可称为工程项目);建设工程项目为完成依法立项的新建、改建、扩建的各类工程而进行的、有起止日期的、达到规定要求的一组相关联的受控活动活动组成的特定过程,包括策划、勘察、设计、采购、施工、试运行、竣工验收和移交等。 建设项目的概念 需要一定量的投资,按照一定程序,在一定时间完成,应符合质量要求的,以形成固定资产为明确目标的特定性任务. 建设项目建设程序的三个阶段和八个步骤 基本建设程序包括:1.项目建议书阶段2.可行性研究阶段3.设计工作阶段—初步设计,技术设计4.建设准备阶段5.建设实施阶段6.竣工验收交付使用阶段;三个阶段:项目前期工作阶段、项目建设实施阶段、项目竣工投产阶段; 建筑工程施工程序 熟悉: 建筑工程项目管理的工作容、定义。 工作容:建设工程项目管理的定义是指从事工程项目管理的企业受工程项目业主方委托,对工程建设全过程或分阶段进行专业化管理和服务活动; 掌握: 建筑工程项目管理的主体、分类 工程项目建设管理的主体包括业主、施工单位、设计单位、监理咨询机构;工程项目管理的类型划分为业主方、设计方、施工方、供货方、总承包方、建设管理部门六方的项目管理。 施工方项目管理的主体、客体和容 施工方项目管理的主体是项目经理部,管理的客体是施工对象、施工活动及相关的劳动要素 建立施工项目管理组织的容 目标控制的容 施工方项目管理的目标控制包括进度、成本、质量、安全四大目标的控制。 广义的劳动要素 施工项目的劳动要素包括劳动力、材料、机械设备、资金、技术。 理解: 管理主体不同,其项目管理的任务也不相同;在项目建设的不同阶段,各方的管理容及重点各不相同。

理论力学课程学习心得

理论力学学习心得 当我第一次拿到理论力学这本书,我就有种很强烈亲切感。这倒不是因为书里的内容跟高中物理或大学物理有多少相似,而是我感觉到这是一片适合我思维去发挥的天地。应该说我从很早就喜欢物理,物理那种对称简洁玄妙之美一直牵动着我。 经典力学是已经发展十分完善的一门学科,其基本的理论十分的简单,但其演绎又十分得复杂,深刻。几个屈指可数的基本定理就可以描述我们宏观低速世界所有物体的运动规律。老师上过的一堂复习课也给我留下了十分深刻的印象。整本理论力学,除了下册的分析力学部分,上册就简单分为静力学,运动学,动力学三部分,而每一部分归纳起来就是几个简单的方程。老师最后还开玩笑说整本书复习完了,可一黑板都没有写完。那是我也会心笑了,这是一种简单中的美感。理论力学不像是生物化学,很多知识要靠记忆去扩展,这是一门更多得靠逻辑和推理去构建知识构架的学科。而我就是喜欢这种在少的基本定理中演绎庞大理论体系的学科。我对需要大量记忆的课程并不擅长,但我喜欢在错综复杂的力学体系中用最基本的东西去思考,解决问题,并想出自己真正有个性的办法,我也觉得这样对自己的智力和思维方式才是有帮助的。而理论力学又不同于以前作为基础学科的物理,其分析的问题更加复杂,更加接近实际,对问题的剖析也更加深刻,因此对思维也提出了更多的挑战,激起人的兴趣。 当然在具体学习的过程中,自己还是碰到了很多的困难的。虽然我喜欢这门课的思维方式,可要学好这门课确实是需要付出精力的。正如老师在学期始所说的,理论力学知识并不多,但是很灵活,有时可能一道题目要花半个小时或一个小时来做,在学习过程中,我也确实经历了这样的做题过程。有时觉得会烦躁,但最后静下心来好好把书上的内容系统地过一遍,有时甚至往复地看好多遍,直到自己真正理解,成为让自己接受的知识。这样就好像给自己装好了武器,再去做题往往就会顺利得多。理论力学的难点不在于知识的多,而是真正要学好这门课,对其中没一点知识必须有足够深的理解,然后各种综合性交叉性的题目也便能很自然得想到用书中不同的知识去解决。自己也便能顺利地去推倒自己想要的结论了。 另外这门课最有特色的实践性课题也让我获得了很多。从小到大,我们一直

面向对象技术Java-期末复习试卷(四)

期末复习试卷(四) 一、单项选择题 1、关于静态变量的概念,下列哪一个正确 A. 一旦一个静态变量被分配,它的值就不允许改变. B. 一个静态变量在方法中被创建,它在被调用前保留上一次调用的结果. C. 在任意多个类的实例中,它们共享类定义的静态变量 D. 在任意多个类的实例中,它们各有自己的静态变量. 正确答案:B 2、选出下面不能作为void Med(int x)方法重载的声明。 A. void Med (double y) B. int Med (int y) C. double Med (int x, int y) D. public float Med (float x) 正确答案:B 3、下列哪一个不正确 A. 一个抽象类必须定义抽象方法. B. 定义了抽象方法的类必定是抽象类. C. 一个接口中,定义的每一个方法必须是抽象方法. D. 一个接口中,定义的每一个成员变量必须是常量. 正确答案:A 4、Circle是GeometricObject的子类。如果有语句:Circle circle = new Circle(1);GeometricObject object = new GeometricObject();那么下面哪个布尔表达式的值为false? A. (circle instanceof GeometricObject) B. (object instanceof GeometricObject)

C. (circle instanceof Circle) D. (object instanceof Circle) 正确答案:D 5、下列关于变量的叙述哪个是错的? A. 实例变量是类的成员变量 B. 实例变量用关键字static声明 C. 在方法中定义的局部变量在该方法被执行时创建 D. 局部变量在使用前必须被初始化 正确答案:B 二、程序题 1、编写一个应用程序,模拟机动车的加速和减速功能。机动车类Vehicle的UML图如 下,其中speedUp()方法实现加速功能,速度上限为240 km/h;speedDown()实现降速功能,下限为0 km/h。 解题要求:编写测试程序,创建Vehicle对象,设置初始速度为100 km/h,调用speedDown()方法减速5 km,再调用speedUp()方法提速10 km,输出车辆的最终速度。

工程项目管理复习资料

1,什么是项目? 具有一定的目标;受制于有限的资源(时间,费用,质量),需要计划、实施和控制 2、项目的特征 一次性,具有明确的目标,具有特定的生命期,整体性,成果的不可挽回性 3、项目管理:是为使项目取得成功所进行的全过程、全面的策划、组织、控制、协调与监督。 4、工程项目定义:工程项目是指为达到预期的目标,投入一定的资本,在一定的约束条件下,经过决策与实施的必要程序从而形成固定资产的一次性事业。 5、工程项目的特点:单件性,一定的生命期,一次性,一定的约束条件。 比一般项目:产品固定,生产的流动性,生产的露天性,建设周期长,耐用期限长,整体功能强、协作性强。 6、工程项目的生命期是指一个工程项目由筹划立项开始,直到项目竣工投产,收回投资,达到预期目标的整个过程。 7、现代工程项目的特征:建设规模日趋庞大,组成结构日趋复杂化、多样化,技术密集性,资金密集性,商务纠纷纷繁,与环境相关性日趋密切,工程风险日趋增大 8、工程项目建设程序是指一个建设项目从策划、选择、评估、决策、设计、施工到竣工验收、投入生产或交付使用的整个建设过程中,各项工作必须遵循的先后顺序和相互关系。建设程序是工程建设项目的技术经济规律的要求,也是由工程项目的特点决定的,是工程建设过程客观规律的反映,是工程项目科学决策和顺利进行的重要保证。 9、工程项目建设程序:项目建议书,可行性研究,设计工作,建设准备,建设施工,生产准备,竣工验收,交付使用。 项目建议书阶段:项目提出的必要性和依据,产品方案、拟建规模和建设地点的初步设想,资源情况、建设条件、协作关系的初步分析,投资估算和资金筹措设想,项目的进度安排,经济效益和社会效益的估计。 可行性研究阶段:进行市场研究,以解决项目建设的必要性问题。进行工艺技术方案的研究,以解决项目建设的技术可能性问题。进行财务和经济分析,以解决项目建设的合理性问题。凡经可行性研究未通过的项目,不得进行下一步工作。 10、工程项目管理定义:工程项目管理是指应用项目管理的理论、观点、方法,对工程建设项目的决策和实施的全过程进行全面的管理。从项目的开始到项目的完成,通过项目策划(PP)和项目控制(PC)以达到项目的费用目标,(投资、成本目标)、质量目标和进度目标。 11、工程项目管理与企业管理,工程项目管理与企业管理同属于管理活动的范畴,但两者有明显的区别:管理对象不同,管理目标不同,运行规律不同,管理内容不同,实施的主体不同。 12、工程项目管理的基本目标有三个最主要的方面:进度、质量、费用 13、工程项目管理的过程:提出问题,规划,决策,实施,检查 14、工程建设监理定义:监理单位受项目法人的委托,依据国家批准的工程项目建设文件,有关工程建设的法律法规和工程建设监理合同,及其它建设合同,对工程项目实施的监督管理。 15、工程项目监理的主要内容:进度控制,投资控制,质量控制,合同管理,组织协调,信息管理 16、工程项目管理的组织是指为实现工程项目的组织职能而进行的组织系统的设计、建立、运行和调整。 17、工程项目管理组织的作用:从组织与项目目标关系的角度看,项目管理组织的

理论力学学习心得五篇

理论力学学习心得五篇 篇一:理论力学学习体会 学习每一门科目都会给我们带来一种能力的培养,学习数学是去学习思维,学习历史是去学习智慧。。。。。。那么学习理论力学呢? 很多人觉得理论力学很枯燥,学起来的时候感觉彻底颠覆了自己的思维,像高中学习的物理什么的都变成错的了,有时候解下一道题时又感觉上一道的理论是错的,最后都不知道到底该用哪种方法去理解了。其实,这只是在初学的时候所有的感觉。开始对概念的偏解使你无法让现在所学的与以前的思维统一,等真正理解后才发现是多么的神奇。 理论力学的学习本身就是一种思维的学习,不过又不仅仅是这样,其中的实际问题的探讨又能帮助我们提高解决实际问题的能力,看待事物的灵活性等等。下面我就我的学习体会浅谈一下对学习理论力学后我们所能获得的能力。 通过一题多解培养思维的灵活性。力学问题中一题多解比较普遍.静力学中处理物体系的平衡,可以先取整体然后取部分为研究对象进行求解,也可以逐个取物体系的组成部分为研究对象进行求解.运动学中有些问题,可以用点的运动学知识求解;也可以利用复合运动知识或刚体的平面平行运动知识求解.动力

学中,一题多解的例子更多,可以用动力学普遍定理求解,也可以用达朗贝尔原理求解,或用动力学普遍方程求解.我们在学习过程中,相同题型尽量用不同方法求解,做到各种方法融会贯通.久而久之,就会使我们的思维变得灵活,遇到问题勤于思考、善于思考,广开思路,通过自己的探索,找出最佳方案. 利用知识之间的内在联系增强创新意识。达朗贝尔原理和虚位移原理是创造性思维的具体体现.用动力学普遍定理分析时比较繁琐,于是就另辟思路,提出惯性力,将动力学问题变为静力学问题来处理;对一些复杂结构,用静力学平衡方程求解过程较长而复杂,为此,提出“虚位移”和“虚功”的概念,将静力学问题转为动力学问题来处理,简化计算。 抓住概念与定理之间的逻辑关系培养逻辑思维能力。由力的概念到力系的平衡条件;由牵连运动、绝对运动、相对运动的概念到速度、加速度合成定理;由动量的概念到动量定理及动量守恒定理等等,每个概念的提出,每一个定理的推导和应用,一环扣一环,层层递进,形成一个严密的逻辑链.透过这些知识的学习和联系,可以培养我们严密的逻辑思维能力。因此,多掌握一些重要定理的推导过程,并做相关的练习.经过严格的训练,对培养逻辑思维能力大有好处.

oop技术:面向对象技术复习思考题.doc

OOP技术:《面向对象技术》复习思考题 %1.填空题 1.在C++中,函数的参数有两种传逆方式,它们是值传逆和I 。当一个成 员函数被调用时,该成员函数的I 指向调用它的对象。 2.拷贝构造函数使用I 作为参数初始化创建中的对象。 3.在公有继承的情况下,基类数据成员在派生类中的访问权限I o 4.用new申请某一个类的动态对象数组时,在该类中必须能够匹配到I 构 造函数,否则应用程序会产生一个编译错误。 5.静态数据成员在类外进行初始化,且静态数据成员的一个拷贝被类的所有对象 6.设"in ta=3,b=4,c=5;”,表达式”(a+b) >c&&b! =c”的值是I ° 7.在C++中,定义重载函数时,应至少使重载函数的参数个数或参数类型 8.动态联编要满足两个条件,它们是I 、I o 9.在C++类中,有一种不能定义对象的类,这样的类只能被继承,称之为 I ,定义该类至少具有一个I 0 10.举出C++中两神用户日定义的数据类型:I 、I 。 11.C++中没有字符串类型,字符串是通过I 来表示的,每一个字符串 都有一个结尾字符I 。 12.在C++中,虽然友元提供了类之间数据进行访问的一种方式,但它破坏了面向 对象程序设计的I 特性。 13.在C++中,构造派生类对象时,总是先从I 的初始化开始的。 14.类是用户定义的类型,具有类类型的变量称作I o 15.在5个运算符”*(乘号)、&&、v=、!、!= ”中,优先级由高到低的顺序是 16.在C++中,类定义一般用class关键字,不过用struct关键字也可以定义类, 它们定义类的区别在于I 。 17.静态的成员函数没有隐含的I ,所以,它们只能访问静态的数据成 员。

东财《工程项目管理》在线作业一

奥鹏17春16秋东财《工程项目管理》在线作业一 一、单选题(共10 道试题,共40 分。) 1. 整个工程项目的管理,是以()为中心的专家管理。 A. 业主 B. 承包商 C. 咨询工程师 D. 消费者 正确答案: 2. ____说明生产过程正常,质量稳定 A. 对称型 B. 左右缓坡型 C. 锯齿型 D. 孤岛型 正确答案: 3. 对施工图负全部责任的单位是: A. 主管单位 B. 设计单位 C. 审核单位 D. 投资单位 E. 施工单位 正确答案: 4. ____是工程项目的永久性技术文件,是进行维修、改进、扩建时的重要依据,也是必要时对工程进行复查的重要依据。 A. 开工执照 B. 工程档案 C. 竣工报告 D. 竣工工程一览表 正确答案: 5. 《招投标法规定》招标人收到投标文件后,应当签收保存,不得开启。投标人少于()的招标人应当重新招标 A. 2个 B. 3个 C. 4个 D. 5个 正确答案: 6. 项目合同的履行分为实际履行和____ A. 强制执行

C. 必须履行 D. 违约赔偿 正确答案: 7. 我国从哪年开始实施项目法人责任制 A. 1978 B. 1985 C. 1992 D. 1997 E. 2000 正确答案: 8. 在设计阶段,投资控制的任务是____ A. 通过搜集类似项目投资数据和资料,协助业主指定项目投资规划 B. 根据项目总工期的要求,协助业主确定合理的设计工期要求 C. 根据设计的阶段性输出,由粗而细地制定项目进度计划,为项目进度控制提供前提和依据 D. 了解业主建设要求,协助业主指定项目质量目标规划 正确答案: 9. ____是对各种建筑工程项目提供全面保障的险种。 A. 建筑工程一切险 B. 安装工程一切险 C. 货物运输险 D. 人身意外险 正确答案: 10. 投资决策要尊重客观规律,要按科学的决策程序办事,要运用科学的决策方法符合____原则 A. 科学化决策原则 B. 民主化决策原则 C. 系统性决策原则 D. 合理性决策原则 正确答案: 东财《工程项目管理》在线作业一 二、多选题(共15 道试题,共60 分。) 1. 工程项目的系统特点包括____ A. 新颖性 B. 复杂性 C. 不确定性

工程项目管理复习资料

工程项目管理复习资料 一、单项选择题 1、项目最主要的特征是( B )。 A.目标明确性 B. 一次性 C. 约束性 D. 生命周期性 2、通俗易懂、简单易行、便于掌握的施工成本分析方法是(D )。 A.因素分析法 B.比率法 C.经验法 D.比较法 3、施工成本按成本构成分解时不包括( B )。 A.材料费 B.管理费 C.措施费 D.间接费 4、项目的承包商一般不包括( A )。 A业主 B 咨询公司 C设计单位 D 材料供应商 5、对工程地质条件复杂或有特殊要求的重大建筑工程地基,尚应进行( A )。 A. 施工勘察 B. 详细勘察 C. 初步勘察 D. 选址勘察 6、对技术复杂而又缺乏设计经验的工程项目,根据主管部门的要求,可增加( C )阶段。 A 方案优选 B 初步设计 C 技术设计 D施工图设计 7、锯齿分布是指( B )。 A 由于技术上、习惯上的原因出现的 B 由于分组的组数不当 C 由于少量材料不合格,短期内工人操作不熟练所造成 D 抽样检查以前数据分类工作不够好,是两个分布混淆在一起所致 8、施工项目成本核算的对象为( C )。 A.施工项目; B.施工客体; C.生产费用承担的客体; D.单位工程; 9、指令源有两个的是( B )。 A.线性组织结构 B.矩阵式组织结构 C.事业部制组织结构 D.直线制组织结构 10、设计是影响工程项目投资的关键环节,在初步设计阶段,影响项目投资的可能性为(A ) A 75%~95% B 35%~75% C 50%~85% D5%~35% 11、当检查工程进度时,如某项工作出现进度偏差且拖延时间超过其总时差,在( B )情况下原计划不需要调整。 A.工程项目总工期不允许拖延

相关主题
文本预览
相关文档 最新文档