当前位置:文档之家› VHDL复习题

VHDL复习题

VHDL复习题
VHDL复习题

VHDL复习题

1.什么是VHDL?简述VHDL的发展史。

答: VHDL是美国国防部为电子项目设计承包商提供的,签定合同使用的,电子系统硬件描述语言。1983年成立VHDL语言开发组,1987年推广实施,1993年扩充改版。VHDL是IEEE 标准语言,广泛用于数字集成电路逻辑设计。

2.简述VHDL设计实体的结构。

答:实体由实体名、类型表、端口表、实体说明部分和实体语句部分组成。根据IEEE标准,实体组织的一般格式为:

ENTITY 实体名 IS

[GENERIC(类型表);] --可选项

[PORT(端口表);] --必需项

实体说明部分; --可选项

[BEGIN

实体语句部分;]

END [ENTITY] [实体名];

3.分别用结构体的3种描述法设计一个4位计数器。

答:用行为描述方法设计一个4位计数器如下,其它描述方法,读者可自行设计。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

use ieee.std_logic_unsigned.all;

ENTITY countA IS

PORT (clk,clr,en:IN STD_LOGIC;

Qa,qb,qc,qd:OUT STD_LOGIC);

END countA;

ARCHITECTURE example OF countA IS

SIGNAL count_4:STD_LOGIC_vector (3 DOWNTO 0);

BEGIN

Qa <= count_4(0);

Qb <= count_4(1);

Qc <= count_4(2);

Qd <= count_4(3);

PROCESS (clk,clr)

BEGIN

IF (clr = '1' ) THEN

Count_4 <= "0000";

ELSIF (clk'EVENT AND clk = '1' ) THEN

IF (en = '1' ) THEN

IF (count_4 = "1111") THEN

count_4 <= "0000";

ELSE

count_4 <= count_4+ '1';

END IF;

END IF;

END IF;

END PROCESS;

END example;

1.什么叫对象?对象有哪几个类型?

答:在VHDL语言中,凡是可以赋于一个值的客体叫对象(object)。

VHDL对象包含有专门数据类型,主要有4个基本类型:常量(CONSTANT)、

信号(SIGNAL)、变量(VARIABLE)和文件(FILES)。

2.VHDL语言定义的标准类型有哪些?

答 VHDL语言标准所定义的标准数据类型

(1) 整数类型(INTEGER TYPE)

(2) 实数类型或浮点类型(REAL TYPE & FLOATING TYPE)

(3) 位类型(BIT TYPE)

(4) 位矢量类型(BIT_VECTOR TYPE)

(5) 布尔类型(BOOLEAN TYPE)

(6) 字符类型(CHARACTER TYPE)

(7) 时间类型或物理类型(TIME TYPE & PHYSICAL TYPE)

(8) 错误类型(NOTE,WARNIING,ERROR,FAILURE TYPE)

(9) 自然数、整数类型(NATURAL TYPE)

(10) 字符串类型(TRING TYPE)

3.简述VHDL语言操作符的优先级。

答:在表2.1中,取反和取绝对值优先级较高,

与、或逻辑运算的优先级低于算术运算的优先级。

4.哪3种方法可用来进行类型转换?

答:进行不同类型的数据变换,

有3种方法:类型标记法、函数转换法和常数转换法。

1.什么叫进程?简述进程的工作方式。

答:进程(process)是由外部信号触发执行的一段程序。进程语句是并行处理语句,即各个进程是同时处理的,在结构体中多个Process语句是同时并发运行的。在进程内部是顺序执行的。Process语句在VHDL程序中,是描述硬件并行工作行为的最常用、最基本的语句。

进程Process语句中一般带有几个信号量例表,称为该进程的敏感量表。这些信号无论哪一个发生变化都将启动Process进程。一旦启动,进程Process中的程序将从上到下顺序执行一遍,由新变化的量引导进程产生变化结果输出。当进程的最后一个语句执行完成后,就返回到进程开始处,等待敏感量的新变化,引发进程的再一次执行。周而复始,循环往复,以至无穷。这就是进程的执行过程。

2.什么叫模块?区分模块与进程。

答:模块(Block)语句是结构体中积木化设计语言,适用于复杂项目设计。

Block块是一个独立的子结构,可以包含PORT语句、GENERIC语句,允许设计者通过这

两个语句将Block块内的信号变化传递给Block块的外部信号。同样,也可以将Block块的外部信号变化传递给Block块的内部信号。

对VHDL语言中的Block模块进行仿真时,Block模块中所描述的各个语句是可以并发执行的,和模块中的语句书写顺序无关。进程语句是一段程序,这段程序是顺序执行的。

3.用结构描述法和GENERATE语句设计一个8位移位寄存器。

答:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY shift_register IS

PORT(a,clk: IN STD_LOGIC;

b: OUT STD_LOGIC);

END ENTITY shift_regester;

ARCHITECTURE eight_BIT_shift_register OF shift_register IS

COMPONENT dff -- dff元件调用

PORT(a,Clk: IN STD_LOGIC;

b: OUT STD_LOGIC);

END COMPONENT;

SIGNAL X: STD_LOGIC_VECTOR(0 TO 4);

BEGIN

X(0) <= a;

dff1:dff PORT MAP (X(0),clk,Z(1));

dff2:dff PORT MAP (X(1),clk,Z(2));

dff3:dff PORT MAP (X(2),clk,Z(3));

dff4:dff PORT MAP (X(3),CLK,Z(4));

dff5:dff PORT MAP (X(4),CLK,Z(5));

dff6:dff PORT MAP (X(5),CLK,Z(6));

dff7:dff PORT MAP (X(6),CLK,Z(7));

dff4:dff PORT MAP (X(7),CLK,Z(8));

B<=X(8);

END ARCHITECTURE eight_bit_shift_register;

1.设计一个加法器,

答:半加器及全加器VHDL程序设计(1)。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY full_adder IS

PORT (a,b,cin:IN STD_LOGIC;

Sum,co:OUT STD_LOGIC);

END full_adder;

ARCHITECTURE full1 OF full_adder IS

COMPONENT half_adder

PORT (a,b:IN STD_LOGIC;

S,co:OUT STD_LOGIC);

END COMPONENT;

SIGNAL u0_co,u0_s,u1_co:STD_LOGIC;

BEGIN

U0:half_adder PORT MAP (a,b,u0_s,u0_co);

U1:half_adder PORT MAP (u0_s,cin,sum,u1_co);

Co <= u0_co OR u1_co;

END full1;

半加器及全加器VHDL程序设计(2)。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY half_adder IS

PORT (a,b:IN STD_LOGIC;

S,co:OUT STD_LOGIC);

END half_adder;

ARCHITECTURE half1 OF half_adder IS

SIGNAL c,d:STD_LOGIC;

BEGIN

C <= a OR b;

D <= a NAND b;

Co <= NOT d;

S <= c AND d;

END half1;

2.简述层次化设计的过程。

答:层次化设计是指对于一个大型设计任务,将目标层层分解,在各个层次

上分别设计的方法。有些设计,在一些模块的基础上,通过搭建积木的方法

进行设计。有人称,在整个设计任务上进行行为描述的设计方法,称为高层

次设计,而从事某一模块、某一元件行为设计称为底层设计方法。

3.什么是库,程序包,子程序,过程调用,函数调用?

答:库(libraries)和程序包(package)用来描述和保存元件、类型说明、函数、模块等,以便在其他设计中可随时引用它们。

库(libraries)是用来存储和放置可编译的设计单元的地方,通过其目录可查询、调用。设计库中的设计单元(实体说明、结构体、配置说明、程序包说明和程序包体)可以用作其他VHDL描述的资源。

函数和过程统称为子程序。

子程序由过程和函数组成。在子程序调用过程中,过程能返回多个变量,函数能返回一个变量。若子程序调用是一个过程,就称为过程调用;若子程序调用是一个函数,则称为函数调用。过程调用和函数调用都是子程序调用。

函数的参数都是输入参数。

过程的参数有输入、输出和双向参数。

函数有顺序函数、并行函数。

过程有顺序过程、并行过程。

1.CLK信号怎样用VHDL语言描述?

答:时钟信号的上升沿的描述:

if clk‘event and clk = ‘1’ then …;

时钟信号的下降沿的描述:

if clk ‘event and clk = ‘1’ then …;

2.异步复位怎样用VHDL语言描述?

答:当复位信号低电平有效时,VHDL的描述为:

if reset = ‘0’ then…;

当复位信号高电平有效时, VHDL的描述为:

if reset =‘1’ then …;

5. 设计一个八位编码器。

答:八位编码器的VHDL参考程序设计如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY priotyencoder IS

PORT (d : IN Std_Logic_Vector (7 Downto 0);

E1: IN Std_Logic;

GS,E0: OUT BIT STD_LOGIC;

Q : OUT Std_Logic_Vector(2 Downto 0);

END priotyencoder;

ARCHITECTURE encoder OF prioty encoder IS

BEGIN

P1: PROCESS ( d )

BEGIN

IF ( d(0) = 0 AND E1 = 0 ) THEN

Y <= 111;

GS <= 0 ;

E0 <= 1 ;

ELSIF (d(1) = 0 AND E1 = 0 ) THEN

Q <= 110;

GS <= 0 ;

E0 <= 1 ;

ELSIF (d(2) = 0 AND E1 = 0 ) THEN

Q <= 101 ;

GS <= 0 ;

E0 <= 1 ;

ELSIF (d(3) = 0 AND E1= 0 ) THEN

Q <= 100 ;

GS <= 0 ;

E0 <= 1 ;

ELSIF (d(4) = 0 AND E1= 0 ) THEN

Q <= 011 ;

GS <= 0 ;

E0 <= 1 ;

ELSIF (d(5) = 0 AND E1= 0 ) THEN

Q <= 010 ;

GS <= 0 ;

E0 <= 1 ;

ELSIF (d(6) = 0 AND E1 = 0 ) THEN

GS<= 0 ;

E0<= 1 ;

ELSIF (d(7) = 0 AND E1 = 0 ) THEN

Q <= 000 ;

GS <= 0 ;

E0 <= 1 ;

ELSIF (E1 = 1 ) THEN

Q <= 111 ;

GS <= 1 ;

E0 <= 1 ;

ELSIF (d = 1111 1111 AND E1 = 0 ) THEN

Q <= 111 ;

GS <= 1 ;

E0 <= 0 ;

END IF;

END PROCESS P1;

END encoder;

6. 设计一个三八译码器。

答:三八译码器的VHDL参考程序设计如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY decoder3_8 IS

PORT (a,b,c,g1,g2a,g2b:IN STD_LOGIC;

Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END decoder3_8;

ARCHITECTURE rtl OF decoder3_8 IS

SIGNAL indata:STD_LOGIC_VECTOR (2 DOWNTO 0);

BEGIN

Indata <= c & b & a;

PROCESS (indata,g1,g2a,g2b)

BEGIN

IF (g1 = 1 AND g2a = 0 AND g2b = 0 ) THEN

CASE indata IS

WHEN 000 => y <= 11111110 ;

WHEN 001 => y <= 11111101 ;

WHEN 010 => y <= 11111011 ;

WHEN 011 => y <= 11110111 ;

WHEN 100 => y <= 11101111 ;

WHEN 101 => y <= 11011111 ;

WHEN 110 => y <= 10111111 ;

WHEN 111 => y <= 01111111 ;

WHEN OTHERS=> y <= XXXXXXXX ;

END CASE;

ELSE

Y <= 11111111 ;

END IF;

END rtl;

五、改正以下程序中的错误,简要说明原因,并指出可综合成什么电路。

1.library ieee;

use ieee.std_logic_1164.all;

entity d_flip_flop is

port(d, clk: in std_logic;

q: out std_logic);

end d_flip_flop;

architecture rtl of d_flip_flop is

begin

if clk’event and clk=’1’ then

q<=d;

end if;

end rtl;

2.library ieee;

use ieee.std_logic_1164.all;

entity d_latch is

port(d, ena: in std_logic;

q: out std_logic);

end d_latch;

architecture rtl of d_latch is

begin

if ena = ’1’ then

q<=d;

end if;

end rtl;

3.library ieee;

use ieee.std_logic_1164.all;

entity test is

port(d, clk: in std_logic;

q: out std_logic);

end test;

architecture rtl of test is

begin

process(clk)

begin

wait until clk’event and clk=’1’

q<=d;

end process;

end rtl;

4.library ieee;

use ieee.std_logic_1164.all;

entity test is

port(d1, d2: in std_logic;

sel: in std_logic;

q: out std_logic);

end test;

architecture rtl of test is

begin

process(d1, d2, sel)

begin

case sel is

when ‘0’ => q <= d1;

when ‘1’ => q <= d2;

end case;

end process;

end rtl;

5.library ieee;

use ieee.std_logic_1164.all;

entity test is

port(d1, d2: in std_logic;

sel: in std_logic;

q: out std_logic);

end test;

architecture rtl of test is

begin

process(d1, d2, sel)

begin

q<=d1 when sel = ’0’ else

d2;

end process;

end rtl;

6.library ieee;

use ieee.std_logic_1164.all;

entity test is

port(clk: in std_logic;

count: buffer std_logic_vector(3 downto 0));

end test;

architecture rtl of test is

begin

process(clk)

begin

if clk’event and clk=’1’ the n

count<=count+1;

end if;

end process;

end rtl;

六、用VHDL设计电路:

1.设计一个2输入与门

2.设计一个四选一选择器。

3.设计一个3-8译码器。

4.设计一个8位的串入串出移位寄存器。

5.设计一个具有置数功能、使能端、清除端的13进制计数器

四.判断改错题

1.已知A和Q均为BIT类型的信号,请判断下面的程序片断:

ARCHITECTURE test OF test IS

BEGIN

CASE A IS

WHEN '0' => Q <= '1' ;

WHEN '1' => Q <= '0' ;

END CASE ;

END test ;

【参考答案】:

CASE语句应该存在于进程PROCESS内。

2.已知start为STD_LOGIC类型的信号,sum是INTEGER类型的信号,请判断下面的程序片断:

PROCESS (start)

BEGIN

FOR i IN 1 TO 9 LOOP

sum := sum + i ;

END LOOP ;

END PROCESS ;

【参考答案】: sum是信号,其赋值符号应该由“:=”改为“<=”。

3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片断:

ARCHITECTURE test OF test IS

BEGIN

SIGNAL B :STD_LOGIC ;

Q <= B ;

END test ;

【参考答案】:

信号SIGNAL的申明语句应该放在BEGIN语句之前。

4.已知A和B均为STD_LOGIC类型的信号,请判断下面的语句:

A <= '0' ;

B <= 'x' ;

【参考答案】:

不定态符号应该由小写的‘x’改为大写的‘X’。

5.已知A为INTEGER类型的信号,B为STD_LOGIC类型的信号,请判断下面的程序片断:ARCHITECTURE test OF test IS

BEGIN

B <= A ;

END test ;

【参考答案】:

A和B的数据类型不一致,不能相互赋值。

6.已知sel是STD_LOGIC_VECTOR(1 DOWNTO 0)类型信号,而a、b、c、d、q均为STD_LOGIC 类型信号,请判断下面给出的CASE语句:

CASE sel IS

WHEN “00” => q <= a ;

WHEN “01” => q <= b ;

WHEN “10” => q <= c ;

WHEN “11” => q <= d ;

END CASE ;

【参考答案】:

CASE语句缺“WHEN OTHERS”语句。

.简述Top-Down设计方法及其基本步骤。

【参考答案】:所谓Top-Down的设计过程是指从系统硬件的高层次抽象描述向最底层物理描述的一系列转换过程。具体讲这一过程由功能级、行为级描述开始;寄存器

传输(RTL)级描述为第一个中间结果;再将RTL级描述由逻辑综合得到网表(Net-list)或电路图;由网表即可自动生成现场可编程门阵列(FPGA)/复杂可编程逻辑器件(CPLD)或专用集成电路(ASIC),从而得到电路与系统的物理实现。

3.请从申明格式、赋值符号、赋值生效时间、作用范围等方面对信号和变量进行比较分析。

【参考答案】:①申明时关键字不一样,变量为:VARIABLE;信号为:SIGNAL。

但申明时赋初值均用“:=”符号。

②赋值符号不同:信号赋值用“﹤=”;变量赋值用“:=”。

③赋值生效时间:信号赋值△延时后生效;变量赋值立即生效。

④声明引用范围:信号在构造体内(进程外)申明,整个构造体内有效;变量主要

在进程内申明,只在进程内有效。

2. VHDL程序主要有三种描述方式:行为描述方式、RTL描述方式、结构描述方式。

3. VHDL程序中数值的载体称为对象。VHDL中有四种对象,分别是:常量(CONSTANT)、变量(VARIABLE)、信号(SIGNAL)、文件(FILE)。

20、在VHDL中,(D )的数据传输是立即发生的,不存在任何延时的行为。

A、信号;

B、常量;

C、数据;

D、变量

21、在VHDL中,(A )的数据传输是不是立即发生的,目标信号的赋值需要一定的延时时间。

A、信号;

B、常量;

C、数据;

D、变量

22、在VHDL中,为目标变量赋值的符号是(C )。

A、=:;

B、= ;

C、:= ;

D、<=

23、在VHDL中,为目标信号赋值的符号是(D )。

A、=:;

B、= ;

C、:= ;

D、<=

24、在VHDL中,定义信号名时,可以用( C)符号为信号赋初值。

A、=:;

B、= ;

C、:= ;

D、<=

设计一数据选择器MUX,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。

(a) 用if语句。(b) 用case 语句。(c) 用when else 语句。

Library ieee;

Use ieee.std_logic_1164.all;

Entity mymux is

Port ( sel : in std_logic_vector; -- 选择信号输入 Ain, Bin : in std_logic_vector; -- 数据输入

Cout : out std_logic_vector; -- 数据输出

End mymux;

VHDL试卷

VHDL试卷

2009/2010 学年第一学期末考试试题答案及评分标准 (A卷) 一、填空题(20分,每空格1分) 1、一个完整的VHDL语言程序通常包含实体(entity),构造体(architecture),配置(configuration),包集合(package)和库(library) 5各部分。 2、在一个实体的端口方向说明时,输入使用in 表示,那么构造体内部不能再使用的输出是用out 表示;双向端口是用 inout 表示;构造体内部可再次使用的输出是用 buffer 表示; 3、一个构造体可以使用几个子结构,即相对比较独立的几个模块来构成。VHDL语言可以有以下3种形式的子结构描述语句: BLOCK 语句结构; PROCESS 语句结构和SUBPROGRAMS结构。 4、VHDL的客体,或称数据对象包括了常数、变 量variable 和信号signal 。

5、请列出三个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量。 6、设D0为'0', D1为'0', D2为'1', D3为'0', D0 & D1 & D2 & D3的运算结果是“0010”, D3 & D2 & D1 & D0的运算结果是“0100”。 7、构造体的描述方式包括三种,分别是寄存器传输(RTL)描述方法或称数据流;构造体的结构描述方式和构造体的行为描述方式。 20分,每小题5分,判断对错2分,给出正确答案3分) 1、传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。 (×) 传统的系统硬件设计方法是采用自下而上(bottom up)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自上而下(top down)的设计方法 2、VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体(√) 1

口腔护理学试题(考试重要资料)

口腔护理学重点复习题A卷(22章) 一、选择题 1、关于口腔颌面部的功能,下面那一项是错误的 A、具有摄食和消化功能 B、具有语言功能 C、具有感光功能 D、具有日常的呼吸功能 E、具有表情功能 2、前牙是指 A、切牙 B、尖牙 C、第一前磨牙 D、切牙和尖牙 E、以上都不是 3、74是指 A、右上第一乳磨牙 B、左上第一乳磨牙 C、右下第一乳磨牙 D、左下第一乳磨牙 E、以上都不对 4、关于牙齿萌出的描述,下面哪种说法是错误的

A、一般情况下,幼儿半岁萌出第一颗乳牙 B、一般情况下,乳牙在2.5—3岁萌出完成 C、一般情况下,儿童在6岁左右萌出第一颗恒牙 D、一般情况下,儿童在12—13岁,除第三磨牙外,恒牙全部萌出 E、以上都不是 二、填空题 1、涎腺包括、颌下腺、舌下腺。 2、颊粘膜偏后区域,有时可见粘膜下有颗粒状黄色斑点,称为,无临床意义。 3、固有口腔是指,上为硬腭和软腭,下为和口底,前界和两侧界为上下牙弓,后界为咽门。 B卷(22章) 一、选择题 1、在腮腺病人的口腔护理中应注意腮腺导管口,其开口位置在平对_牙冠的颊粘膜上,呈乳头状突起。 A、上颌第一磨牙 B、上颌第二磨牙 C、上颌第三磨牙 D、上颌第一前磨牙 E、上颌第二前磨牙

-* 2、后牙是指 A、前磨牙 B、第一磨牙 C、第二磨牙 D、前磨牙和磨牙 E、以上都不是 3、12是指 A、右上侧切牙 B、左上侧切牙 C、右下侧切牙 D、左下侧切牙 E、以上都不是 4、牙齿软组织是指 A、牙周膜 B、牙龈 C、牙髓 D、牙周组织 E、以上都不是 二、填空题 1、唇粘膜下有许多小粘液腺,其导管受阻时容易形成。 2、乳牙萌出完成后,其总数应为 颗。

完整word版,VHDL期末考试题

1.结构体的三种描述方式:-行为描述-数据流描述-结构化描述 2.一般将一个完整的VHDL程序称为设计实体 3. VHDL设计实体的基本结构由库、程序包、实体、结构体和配置组成。 4.VHDL不区分大小写。 5.常用的库:library ieee ,程序包:use ieee.std_logic_1164.all 6.VHDL程序的基本结构至少应包括实体、结构体和对库的引用声明。 7.在VHDL程序中使用的文字、数据对象、数据类型都需要事先声明。 8. VHDL的实体由实体声明和结构体组成。VHDL的实体声明部分指定了设计单元的输入出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。VHDL的结构体用来描述实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。 9.端口方向模式:IN(输入)、OUT(输出<构造体内部不能再使用>)、INOUT(双向)、BUFFER (缓冲<构造体内部可再使用>) 10.VHDL的标识符名必须以(字母开头),后跟若干字母、数字或单个下划线构成,但最后不能为(下划线),不能连续两个下划线相连。 11. 为信号赋初值的符号是(:=);程序中,为变量赋值的符号是(:=),为信号赋值的符号是(<=) 12. VHDL的数据类型包括标量类型、复合类型、存储类型和文件类型 请列出3个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量,整数,字符串,时间,错误等级,自然数,正整数。 13. VHDL的操作符包括逻辑、算术、关系和并置四类 14.、GAL、PLA、PAL(早期) :基于乘机项技术构造的可编程逻辑器件,不需要配置外部 程序寄存芯片 FPGA(现场可编程门阵列):基于查找表技术构造的可编程逻辑器件,需要配置外部程序寄 存芯片 15.VHDL客体或数据对象:常量、信号、变量(可被多次赋值)、文件。 16.一个VHDL程序中可以使用多个进程process语句,一个设计实体可以拥有多个结构体。 17.VHDL的预算操作包括:逻辑运算符、关系运算符、乘法运算符(优先级<<<) 逻辑运算符、关系运算符、加减并置运算符、正负运算符、乘法运算符、 18.VHDL中std_logic类型:‘Z’表示高阻,‘X’表示不确定 19.将一个信width定义为一个4位标准逻辑向量为:signal width :std_logic_vector(3 downto 0) 定义一个变量a,数据类型为4位位向量:variable a :bit_vector(3 downto 0) 20.赋值语句是并行执行,IF语句是串行执行。 21.标准逻辑是一个具有九值逻辑的数据类型 22.表示‘0’‘1’两值逻辑的数据类型是bit,表示‘0’‘1’‘Z’等九值逻辑的数据类型是std_logic ,表示空操作的数据类型是NULL 23.<=是小于等于关系运算符,又是赋值运算操作符 /=是不相等操作符,功能是在条件判断是判断操作符两端不相等。 NOT是逻辑运算符,表示取反,在所有操作符中优先级最高。 30.并置运算符 & 的功能是把多个位或位向量合并为一个位向量。 24.位类型的初始化采用字符,位矢量用字符串 25.进程必须位于结构体内部,变量必须定义于进程内部 26.进程执行的机制是敏感信号发生跳变 27. VHDL语言可以有以下3种形式的子结构描述语句: BLOCK语句结构; PROCESS语句结

《口腔内科学》试题库

第一章绪论 一、名词解释 1. 口腔内科学 二、填空题 1. 口腔内科学包括__________、__________、__________、__________ __________、__________、__________。 2、口腔内科学重点研究两大口腔疾病:__________和__________。 3、口腔内科学与__________、__________及其它口腔专业课均有密切的关系。 4、我国古代医书记载口腔保健方法很多,如__________、__________、__________等。 四、简答题 1.口腔内科学的内容包括哪些? 2. 口腔内科学重点研究的口腔病有哪些? 五、论述题及病例分析 1. 举例说明口腔内科学研究的内容有哪些? 参考答案 一、名词解释 1.是口腔医学主要专业课程之一,包括龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病等;主要研究上述疾病的病因、临床病理、临床表现、诊断、治疗和预防。 二、填空题 1.龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病、口腔黏膜病 2. 龋病、牙周病 3. 口腔外科学、口腔修复学 4. 鼓漱、叩齿、睡前刷牙 四、简答题 1.主要研究龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病等疾病的病因、临床病理、临床表现、诊断、治疗和预防。 2. 有龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病。 五、论述题 1.口腔内科学主要研究龋病、牙体硬组织非龋性疾病、牙髓病和根尖周病、牙周病、儿童牙病、老年牙病及口腔黏膜病等疾病的病因、临床病理、临床表现、诊断、治疗和预防。如龋病的病因为“四联因素学说”,即包括细菌、食物、宿主和时间;龋病的临床病理本质是无机物脱矿和有机物分解;龋病的临床表现为发生牙体硬组织的色、形、质三方面的病变;龋病的临床诊断分为浅龋、中龋和深龋;龋病的治疗主要是充填修复治疗;龋病的预防主要是采用三级预防理念。 (王晓玲)

中医诊断学试题及答案DOC-共24页

中医诊断学试题及答案 第一部分(客观题共15分) 一、判断题(判断下列各小题,对的用“+”,错的用“-”,填在题后的括号内;每题1分,共15分) 1、望神,就是诊察患者精神意识活动,以了解病情轻重,推测预后的吉凶。() 2、面、目、身俱黄且黄色晦暗如烟熏者,为阴黄。() 3、外感热病中,斑疹色淡红或淡紫者,提示病情轻浅,预后较好。() 4、一般地说,察舌质,重在辨病邪的浅深与胃气的存亡;察舌苔,重在辨脏腑的虚实。( ) 5、神志不清,语言重复,声音低弱,时断时续者,为郑声。 () 6、在疾病过程中出现口渴,均提示热盛伤津。() 7、在四时脉象中,春季多见浮脉。() 8、“反关脉”与“斜飞脉”,都是比较少见的病脉。()9、“阳盛则热”,热为阳证。故凡发热者均为热证、阳证()10、虚实辨证,是分析辨别邪正盛衰的两个纲领。()11、就人体部位而言,皮毛、肌肉属表。故凡病位浅在肌表的病证,均属表证。() 12、亡阳证的汗出大多粘而味xx。() 13、足少阳胆经入耳中,肝胆相为表里。故耳内肿痛、流脓,多因肝阳上亢所致。() 14、心肾不交证的病机主要在于命火不足,不能上温心阳。 ( 15、心脾两虚证的实质是心脾两脏气血不足而表现的虚弱证候。()

二、单项选择题(选择一个正确答案,并将其序号填在题后的括号内;每题1分,共22分) 16、下列既可见于热证,又可见于寒证的舌象是() A、红舌 B、绛舌 C、淡白舌 D、紫舌 17、久病舌xx,多见于() A、热邪壅肺 B、胃热亢盛 C、肝胆火盛 D、阴虚内热 18、右手寸口脉关部分属脏腑是() A、肺 B、肝胆 C、脾胃 D、肾 19、气血本虚,又为湿邪所困的患者,多见()A、迟脉B、弱脉C、濡脉D、微脉 20、滑数脉多见于() A、痰热内蕴证 B、肝阳上亢证 C、肝气郁结证 D、阴虚内热证 21、根据经络的分布,分辨头痛的经络病位,头项痛者多属() A、阳明经 B、太阳经 C、少阳经 D、厥阴经22、患者面赤身热,口渴饮冷,烦躁不宁,尿黄便干,舌红苔黄,脉数。此属() A、表热证 B、里实热证 C、里虚热证 D、戴阳证23、里虚寒证出现畏寒肢冷的病机是()A、寒邪束表,卫气失宣B、阳虚失于温煦C、阴寒内盛,阳气被郁D、以上都不是 24、饮停胸胁,症见胸胁饱满,咳嗽时牵引作痛。 此属() A、痰饮 B、支饮 C、悬饮 D、溢饮 25、患者身倦乏力,少气懒言,胁痛如刺,拒按,舌淡有紫斑,脉沉涩。此属()

牙周病学题库供参考

牙周病学 A1 型题 1.关于牙周病患者的全身病史,哪一项不准确? A.牙周治疗必须在全身疾病控制下才能进行 B.有助牙周病病因的全面分析 C.全身疾病改变对治疗的反应 D.提醒医生对特殊病人采取特殊治疗 E. 全身疾病改变牙周组织对局部刺激的反应 2.关于牙周病史,哪一项不正确? A.就诊的原因及目的 B.过去的牙周治疗史 C.口腔卫生习惯 D.是否有夜磨牙史,血液病史 E.发病时间与主要症状 3. 关于牙周现病史,哪一项不正确?A.通过问诊收集病史 B.发病的时间、过程及可能的诱因 C.牙龈出血、牙周脓肿出现的情况 D.吸烟史及家族史 E.口腔卫生的情况 4.全身病史的内容,除了, A. 传染病史 B. 糖尿病 C. 个人史 D. 药物过敏史 E. 癫痫病史 5. 2.X 线片具有局限性,除了 A. 拍X 线片费时及费用较高 B. 不能显示软组织袋 C. 不能精确反映邻间骨缺损的形态 D. 不能准确反映颊、舌侧骨高度 E. X 线片显示的骨破坏比实际破坏轻 F. 6.正常牙龈的最佳描述是 A. 颜色是粉红色 B. 颜色是鲜红色 C. 颜色是暗红色 D. 颜色是暗紫色 E. 以上均可能 7.牙龈的临床特征,哪一项不正确? A. 牙龈颜色的变化可呈局部或全口腔 B. 皮肤黑者,正常牙龈可见色素沉着-1 0 0- C. 正常的牙龈边缘通常较钝厚 D. 炎症和创伤可引起牙龈外形的改变 E. 炎症弥漫指已波及到附着龈 8.关于龈组织变化,哪一项不正确? A. 健康牙龈坚实而有弹性 B. 正常附着龈可有点彩或无点彩 C. 龈裂是牙龈炎症的表现 D. 慢性炎症导致结缔组织纤维和细胞的增加 E. 牙龈肥大意味着牙周袋的形成 9.慢性牙龈炎症的体征,除了 A. 溢脓 B. 出血 C. 颜色的改变 D. 疼痛 E. 牙龈红肿 10.牙周袋的最佳解释是 A. PD >3 ㎜ B. PD>5 ㎜ C. PD>3 ㎜,未见上皮附着向根方增殖只与21.X 线片的读片内容为 D. 冠根比例 E. 牙周膜的宽度 F. 不良充填体 G. 牙槽骨的破坏形式 H. 以上均是 21.X 线片的读片内容为 I. 冠根比例 J. 牙周膜的宽度 K. 不良充填体 L. 牙槽骨的破坏形式 M. 以上均是 N. 上皮附着向根方增殖有关 O. 与骨丧失有关,上皮附着可正常 11.牙周探诊最重要的诊断意义是 A. 附着丧失比袋深更有意义 B. 袋越深,表明牙周病越重 C. 牙周病的程度与龈缘的位置有关 D. 牙龈出血是牙龈炎症的表现 E. 袋内溢脓是牙周炎症加重的体征 12.牙周探诊的最佳力量是 A. 10~20g

杭州电子科技大学2005年EDA技术与VHDL考试试题B卷

杭州电子科技大学2005年EDA技术与VHDL考试试题B卷 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。 A .瘦IP B.固IP C.胖IP D.都不是 2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。 A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 4.进程中的信号赋值语句,其信号更新是_______。 A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。 A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 6.不完整的IF语句,其综合结果可实现________。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路

牙周病学重点

第一章绪论 Periodontology 主要是研究牙周组织的结构、生理和病理的学科;Periodontics 研究牙周病的诊断、治疗和预防的临床学科 是指发生于牙周支持组织的各种疾病。包括牙龈病gingival diseases和牙周炎periodontitis。牙龈病是指只发生在牙龈组织的疾病,而牙周炎则累及四种牙周支持组织的炎症性、破坏性疾病。 第二章牙周组织的应用解剖和生理 (1)牙龈:包括游离龈、附着龈和龈乳头 牙完全萌出后,龈沟底部位于釉牙骨质界。健康龈沟组织学深度平均为1.8mm 称为牙周探诊深度。正常不超过3mm 40%成人的附着龈表面有橘皮样的点状凹陷,称为点彩。点彩是功能强化或功能适应性改变的表现,它是健康牙龈的特征。牙龈有炎症时,点彩减少或消失。 合。它的位置在一生中基本是恒定的。牵拉唇颊,观察黏膜的移动度,可确定膜龈联合的位置。 范围为1-9mm。前牙唇侧最宽,后牙较窄,由于颊系带的附着多位于第一前磨牙区,故该区的附着龈宽度最窄。 谷。该处上皮无角化、无钉突,对局部刺激物的抵抗力较低,牙周病易始发于此。

长度约0.25-1.35mm亦称上皮性附着(epithelial attachment)。 生物学宽度biological width——指龈沟底到牙槽嵴顶之间约2mm的恒定距离。它包括结合上皮(0.97mm)及结合上皮根方和牙槽嵴顶之间的纤维结缔组织(1.07mm)。当牙主动萌出或用人工牵引使牙继续萌出时,牙槽嵴顶随着增高;当将牙压入牙槽窝时,牙槽嵴亦随之发生吸收。 良好地封闭了软硬组织交界处。由于结合上皮无角化,无上皮钉突,细胞间隙大,桥粒较少,细胞联系较松弛,上皮的通透性较高,因此较易被机械力所穿透或撕裂。它是牙周病的始发部位。 故将结合上皮及邻近的牙龈纤维视为一个功能单位,称之为龈牙单位。 牙龈上皮包括口腔上皮、沟内上皮和结合上皮。牙龈上皮更新时间为10-12天,腭、舌和颊部为5-6天,结合上皮为1-6天。牙龈组织无黏膜下层。牙龈纤维包括龈牙纤维(最多)、牙骨膜纤维、环行纤维、越隔纤维(仅见于牙邻面)。 (2)牙周膜:牙周膜最重要的成分是胶原构成的主纤维,主纤维主要由I型胶原纤维和耐酸水解性纤维组成。主纤维埋入牙骨质和牙槽骨得部分称为Sharpey纤维。●牙槽嵴纤维(将牙向牙槽窝内牵引,并对抗侧方力)●横纤维(防止牙侧方移动)●斜纤维(数量最多,力量最强,将咀嚼压力转变为牵引力)●根尖纤维(固定根尖、保护进出根尖孔的血管和神经的作用)●根间纤维(只存在于多根牙各根之间,有防止多根牙向冠方移动的作用)当牙承受垂直压力时,除根尖纤维外,几乎全部纤维呈紧张状态,并将此力传递至牙槽骨,可承担较大咬合力。单根牙在受到侧向压力时,以位于牙根的中1/3与根尖1/3交界处的转动中心为支点,发生倾斜,仅使部分纤维呈紧张状态,这时容易造成牙周膜和牙槽嵴

完整版汇编语言试题及答案..doc

一,单项选择题 (每小题 1 分,共 20 分 1-10CCCCAADACB 11-20.ADBBAADDCC 1.指令 JMP FAR PTR DONE 属于 ( C A.段内转移直接寻址 B.段内转移间接寻址 C.段间转移直接寻址 D.段间转移间接寻址 2.下列叙述正确的是 ( A.对两个无符号数进行比较采用CMP 指令 ,对两个有符号数比较用CMP S 指令 B.对两个无符号数进行比较采用CMPS 指令 ,对两个有符号数比较用CM P 指令 C.对无符号数条件转移采用JAE/JNB 指令 ,对有符号数条件转移用JGE/J NL 指令 D.对无符号数条件转移采用JGE/JNL 指令 ,对有符号数条件转移用JAE/J NB 指令 3.一个有 128 个字的数据区 ,它的起始地址为 12ABH:00ABH, 请给出这个数据区最末一个字单元的物理地址是 ( A.12CSBH B.12B6BH

C.12C59H D.12BFEH 4.在下列指令的表示中 ,不正确的是 ( A.MOV AL,[BX+SI] B.JMP SHORT DONI C.DEC [BX] D.MUL CL 5.在进行二重循环程序设计时,下列描述正确的是 ( A.外循环初值应置外循环之外;内循环初值应置内循环之外,外循环之内 B.外循环初值应置外循环之内;内循环初值应置内循环之内 C.内、外循环初值都应置外循环之外 D.内、外循环初值都应置内循环之外,外循环之内 6.条件转移指令 JNE 的测试条件为 ( A.ZF=0 B.CF=0 C.ZF=1 D.CF=1 7.8086CPU在基址加变址的寻址方式中,变址寄存器可以为 ( A.BX 或 CX

VHDL试题

一. 选择填空(每题4分,共40分) 1..MAX7000结构中包含五个主要部分,即逻辑阵列块、宏单元、扩展乘积项(共享和并联)、可编程连线阵列、I/O控制块。 2.EDA的设计输入主要包括(原理图输入)、状态图输入、波形图输入和HDL 文本输入。 3. 当前最流行的并成为IEEE标准的硬件描述语言包括具 VHDL 和 Verilog 。 4. 常用EDA工具大致可分为设计输入编辑器、HDL综合器、仿真器、适配器和下载器 5个模块。 5. CPLD结构特点为以乘积项结构方式构成逻辑行为; FPGA结构特点为以查表法结构方式构成逻辑行为。 7. VHDL中最常用的库是 IEEE 标准库,最常用的程序包 是 STD-LOGIC-1164 程序包。常用的四种库是IEEE库、STD库、WORK库及VITAL库。IEEE库是VHDL设计中最常用的库,它包含有IEEE标准的程序包和其他一些支持工业标准的程序包。 8. VHDL程序的基本结构 9. 基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试。 10. 源文件保存时,建议文件名尽可能与该程序的实体名保持一致。 11. 资源优化可以分为资源共享,逻辑优化、串行化。速度优化分为流水线设计,寄存器配平和关键路径法。 12. 三类数据对象:变量、常量和信号。 13. 在VHDL中有逻辑操作符、关系操作符、算术操作符和符号操作符四类操作符,如果逻辑操作符左边和右边值的类型为数组,则这两个数组的尺寸,即位宽要相等。在一个表达式中有两个以上的算符时,需要使用括号将这些运算分组。如果一串运算中的算符相同,且是AND、OR、XOR这三个算符中的一种,则不需要使用括号。 14. 时序电路产生的条件:利用不完整的条件语句的描述。 15. 结构体中的可综合的并行语句主要有七种:并行信号赋值、进程、块语句、条件信号语句、元件例化语句、生成语句和并行过程调用语句。顺序语句有赋值语句、流程控制语句、等待语句、子程序调用语句、返回语句和空操作语句。16. 顺序语句只能出现在进程中,子程序包括函数和过程。并行语句不放在进程中。 17. 进程本身是并行语句,但其内部是顺序语句 2、本质区别: 18. P247—248自己解决去! 19. P248标志符的命名规则 20. 三种主要的状态编码为:状态位直接输出型号编码、一位热码编码和顺序编码。特点P215 21. 非法状态的产生原因:1、外界不确定的干扰2、随机上电的初始启动 22. VHDL要求赋值符“<=”两边的信号的数据类型必须一致。 23. 综合的概念:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

牙周试题1

牙周试题一 一、A1 1、牙槽骨水平吸收时形成的牙周袋通常为 A.骨上袋 B.骨内袋 C.复杂袋 D.1壁骨袋 E.4壁骨袋 【正确答案】:A 【答案解析】:牙槽骨吸收破坏方式可表现为如下几种形式: (一)水平型吸收水平型吸收是最常见的吸收方式。牙槽间隔,唇颊侧或舌侧的嵴顶边缘呈水平吸收,而使牙槽嵴高度降低,通常形成骨上袋。 (二)垂直型吸收垂直型吸收也称角形吸收,指牙槽骨发生垂直方向或斜行的吸收,与牙根面之间形成一定角度的骨缺损,牙槽嵴的高度降低不多(除非伴有水平吸收),而牙根周围的骨吸收较多。多形成骨下袋。 骨下袋根据骨质破坏后剩余的骨壁数目,可分为下列几种:一壁骨袋,二壁骨袋,三壁骨袋,四壁骨袋,混合骨袋。 【该题针对“牙周袋”知识点进行考核】 2、关于龈沟液以下不正确的是 A.牙龈健康者极少有龈沟液 B.炎症时龈沟液明显增多 C.其主要成分与血清相似 D.龈沟液中有免疫球蛋白具有抗特异性致病菌的作用 E.龈沟液中无白细胞等防御细胞 【正确答案】:E 【答案解析】:龈沟液指通过龈沟内上皮和结合上皮从牙龈结缔组织渗入到龈沟内的液体。龈沟液的液体成分主要来源于血清,其他成分则分别来自血清、邻近的牙周组织(上皮、结缔组织)及细菌。内容包括补体-抗体系统成分、各种电解质、蛋白质、葡萄糖、酶等,也含有白细胞(主要为通过龈沟上皮迁移而出的中性粒细胞)、脱落的上皮细胞等。 【该题针对“第一单元单元测试”知识点进行考核】 3、用钝头牙周探针探测牙周炎患牙的炎症牙龈时,探针终止于 A.龈沟底 B.进入结合上皮1/2~1/3处 C.穿透结合上皮,终止于正常结缔组织的冠方 D.终止于正常结缔组织纤维内 E.终止于结合上皮的冠方 【正确答案】:C 【答案解析】:探诊深度(PD):指龈缘至袋底或龈沟底的距离。以mm为单位记录。健康牙龈的龈沟探诊深度不超过2~3mm,在健康状态下探针可进入结合上皮;有炎症时探针会超过结合上皮。进入炎症区达健康结缔组织冠方。经治疗后,结缔组织中炎症细胞浸润消

试题及答案

01、整个需求曲线向左下方移动,其原因是(B )……….B.需求减少 02、当汽油的价格上升时,在其他条件不变的情况下,对小汽车的需求量将(A )…….A.减少 03、下列商品的需求价格弹性最小的是(C )………C.食盐 04、商品的边际效用随者商品消费量的增加而(B )B.递减 05、根据无差异曲线分析,消费者均衡是(A )…….A.无差异曲线与消费可能线的相切之点 06、当边际产量大于平均产量时,平均产量(C )…….. C.递增 07、等产量曲线向左下方移动表明的是(B )………..B.产量减少 08、短期平均成本曲线呈U型,是因为(D )…………D.边际收益递减规律 10、长期平均成本曲线与长期边际成本曲线一定是(D )………..D.相交于平均成本曲线的最低点 11、下列筹资方式中,体现债权关系的是(C )………….C 发行债券 12、计算流动比率,速动比率,现金比率这三个财务碧绿时,都需要利用的指标是(C )…C 货币资产 C 利息 15、下列属于股股东所拥有的权利是(B )……………B 优先受让和认购新股全 18、企业由于现金持有量不足,造成企业信用危机而给企业带来的损失,属于现金的(现金短缺) 19、在下列各项中,属于应收账款机会成本的是( B )……………...B 应收账款占用资金的应计利息 20、企业最为合理的财务管理目标是( D )……………………D 企业价值最大化 21、政府为了扶值农产品,规定了高于均衡价格的支持价格。为此政府应采取的措施是( C )……C.收购过剩的农产品 22、某消费者逐渐增加某种商品的消费量,直到达到了效用最大化,在这个过程中,该商品的( C )。… ………………………………….......................................C总效用不断增加,边际效用不断下降 23、假定某企业全部成本函数为TC=30000+SQ-Q。,Q为产出数量。那AFC为( D ) …….D.30000/Q 24、当劳动的总产量下降时,( D )。…………………D.边际产量为负 25、在完全竞争条件下,平均收益与边际收益的关系是( C )。………………C.相等 26、生产要素的需求曲线之所以向右下方倾斜,是因为( A )。………A.要素的边际产品价值递减 27、随着工资水平的提高( C )。…C.劳动的供给量先增加,…..,劳动的供给不仅不会增加反而减少 28、卖主比买主知道更多关于商品的信息,这种情况被称为( A )。……………….A.信息不对称问题 29、根据储蓄函数,引起储蓄增加的因素是( A )。…………………A.收入增加 30、居民消费不取决于现期收人的绝对水平,也不取决于现期收入和以前最高收人的关系,而是取决于居民的持久收入, 这种观点的提出者是( B )。……………..B.弗里德曼 31、假定货币供给量不变,货币的交易需求和预防需求增加将导致货币的投机需求( C )………..C.减少 32、总需求曲线AD是一条( A )。…………………….A.向右下方倾斜的曲线 33、奥肯定理说明了( A )。…………………….A.失业率和总产出之间高度负相关的关系 34、要实施扩张型的财政政策,可采取的措施有( C )。……………….C.增加财政转移支付 35、货币贬值使该国国际收支状况好转时( A )。…………………….A.| e。+e。|>l 36、需求曲线是一条倾斜的曲线,其倾斜的方向为……………….(A右下方) 37、下列体现了需求规律的是…….(D照相机价格下降,导致销售量增加) 38、其他因素保持不变,只是某种商品的价格下降,将产生什么样的结果…….(C.需求量增加) 39、鸡蛋的供给量增加是指供给量由于…………(C.鸡蛋的价格提高而引起的增加) 40、无差异曲线为斜率不变的直线时,表示相结合的两种商品是………………(B.完全替代的) 01、资源配置要解决的问题是(ABC )……………..A.生产什么B.如何生产 C.为谁生产 02、影响需求弹性的因素有(ABCDE )..…A.消费者对某种商品的需求程度B.商品的可替代程度 C.商品本身用途的广泛性D.商品使用时间的长短 E.商品在家庭支出中所占的比例 03、引起内在经济的原因有(ACE )………..A.使用更先进的技术C.综合利用E.管理水平提高 04、通货膨胀理论包括(ABCD )…….A.需求技上的通货膨胀理论B..供给推动的通货膨胀理论 C.供求混合推动的通货膨胀理论D.结构性通货膨胀理论 05、经济周期繁荣阶段的特征是(ABCD )..A.生产迅速增加B.投资增加C 信用扩张D.价格水平上升 06、边际技术替代率( AC ) …………………………A.是在产出量保持不变的前提下,增加最后一个单位投入要素替代 另一种投入要素的技术上的比率C.是负的,并且呈递减趋势 07、按竞争与垄断的程度,我们将市场分为( ABCD ) A.完全垄断市场B.垄断竞争市场C.寡头垄断市场D.完全竞争市场 08、形成市场失灵的主要原因有( ABDE ) ……… A.垄断B.不完全信息D.外部性E.公共物品 09、在以价格为纵坐标,收人为横坐标的坐标系中( CE )…………………………………………………. …………C.垂直的直线被称为长期总供给曲线E.向右上方倾斜的曲线被称为短期总供给曲线

VHDL复习题

一、选择题 ( A )1.一个项目的输入输出端口是定义在: A. 实体中 B. 结构体中 C. 任何位置 D. 进程体 ( B)2.描述项目具有逻辑功能的是: A. 实体 B. 结构体 C. 配置 D. 进程 ( A )3.关键字ARCHITECTURE定义的是: A. 结构体 B. 进程 C. 实体 D. 配置 ( D )4.VHDL语言中变量定义的位置是: A. 实体中中任何位置 B. 实体中特定位置 C. 结构体中任何位置 D. 结构体中特定位置( D )5.VHDL语言中信号定义的位置是: A. 实体中任何位置 B. 实体中特定位置 C. 结构体中任何位置 D. 结构体中特定位置( B )6.变量是局部量可以写在: A. 实体中 B. 进程中 C. 线粒体 D. 种子体中 ( A )7.变量和信号的描述正确的是: A. 变量赋值号是:= B. 信号赋值号是:= C. 变量赋值号是<= D. 二者没有区别( B )8. 变量和信号的描述正确的是: A. 变量可以带出进程 B. 信号可以带出进程 C. 信号不能带出进程 D. 二者没有区别( )9.对于信号和变量的说法,哪一个是不正确的: A. 信号用于作为进程中局部数据存储单元 B. 变量的赋值是立即完成的 C. 信号在整个结构体内的任何地方都能适用 D. 变量和信号的赋值符号不一样 ( A )10.下列关于变量的说法正确的是: A.变量是一个局部量,它只能在进程和子程序中使用 B.B. 变量的赋值不是立即发生的,它需要有一个δ延时 ×××××试卷第1页(共××页)

C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量 D. 变量赋值的一般表达式为:目标变量名<= 表达式 ( C )11.可以不必声明而直接引用的数据类型是: A. STD_LOGIC B. STD_LOGIC_VECTOR C. BIT D. 前面三个答案都是错误的 ( C )12.STD_LOGIG_1164中定义高阻的字符是: A. X B. x C. z D. Z ( A )13.STD_LOGIG_1164中字符H定义的是: A. 弱信号1 B. 弱信号0 C. 没有这个定义 D. 初始值 ( B )14.使用STD_LOGIG_1164中的数据类型时: A. 可以直接调用 B. 必须在库和包集合中声明 C. 必须在实体中声明 D. 必须在结构体中声明 ( B )15.关于转化函数说法正确的是: A. 任何数据类型都可以通过转化函数相互转化 B. 只有特定类型的数据类型可以转化 C. 任何数据类型都不能转化 D. 前面说法都是错误的 ( C )16.VHDL运算符优先级说法正确的是: A. 逻辑运算的优先级最高 B. 关系运算的优先级最高 C. 逻辑运算的优先级最低 D. 关系运算的优先级最低 ( D )17.VHDL运算符优先级说法正确的是: A. NOT的优先级最高 B. AND和NOT属于同一个优先级 C. NOT的优先级最低 D. 前面的说法都是错误的 ( D )18.VHDL运算符优先级说法正确的是: A. 括号不能改变优先级 B. 不能使用括号 C. 括号的优先级最低 D. 括号可以改变优先级 ( B )19.如果a=1,b=0,则逻辑表达式(a AND b)OR(NOT b AND a)的值是: A. 0 B. 1 C. 2 D. 不确定 ( B )20.正确给变量X赋值的语句是: A. X<=A+B; B. X:=A+b; C. X=A+B; D. 前面的都不正确 ( )21.VHDL文本编辑中编译时出现如下的报错信息,其错误原因是: ×××××试卷第2页(共××页)

HTML试题及答案.doc

HTML练习题1(选择题) 一、选择 1.在一个框架的属性面板中,不能设置下面哪一项。( D ) A.源文件 ; B.边框颜色; C.边框宽度D.滚动条 2. 下列哪一项表示的不是按钮。( C ) A.type="submit" B.type="reset" C.type="image" D.type ="button" 3.下面哪一个属性不是文本的标签属性?( B ) A.nbsp; B.align C.color D.face 4.下面哪一项的电子邮件链接是正确的?( D ) A.https://www.doczj.com/doc/d410828963.html, B.xxx@.net C.xxx@com D.xxx@xx https://www.doczj.com/doc/d410828963.html, 5.当链接指向下列哪一种文件时,不打开该文件,而是提供给浏览器下载。( C ) A.ASP B.HTML C.ZIP D.CGI 6.关于表格的描述正确的一项是。( D ) A.在单元格内不能继续插入整个表格 B.可以同时选定不相邻的单元格 C.粘贴表格时,不粘贴表格的内容 D.在网页中,水平方向可以并排多个独立的表格 7.如果一个表格包括有1行4列,表格的总宽度为“699”,间距为“5”,填充为“0”,边框为“3”,每列的宽度相同,那么应将单元格定制为多少像素宽。( D ) A.126 B.136 C.147 D.167 8.关于文本对齐,源代码设置不正确的一项是:( A ) A.居中对齐:

B.居右对齐:
C.居左对齐:
D.两端对齐:
9.下面哪一项是换行符标签?(C) A. B. C.
D. 10.下列哪一项是在新窗口中打开网页文档。( B ) A._self B._blank C._top D._parent 11.下面对JPEG格式描述不正确的一项是。( C )

VHDL合肥工业大学期末试题(含答案)2007-2008A

合肥工业大学 2007/2008 学年第一学期末考试试题答案及评分标准 (A卷) 一、填空题(20分,每空格1分) 1、VHDL是否区分大小写?不区分。 2、digital_ _8标识符合法吗?不合法。12_bit标识符合法吗?不合法。 signal标识符合法吗?不合法。 3、结构体有三种描述方式,分别是数据流、行为、 和结构化。 4、请分别列举一个常用的库和程序包library ieee 、use ieee.std_logic_1164.all 。 5、一个信号处于高阻(三态)时的值在VHDL中描述为‘Z’。 6、将一个信号width定义为一个4位标准逻辑向量为 signal width : std_logic_vector(3 downto 0) 。 7、/=是不相等操作符,功能是在条件判断是判断操作符两端不相等。 8、设D0为'0', D1为'1', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是 “0110”,(D3 or D2)and(D1 and not D0)的运算结果是:‘1’。 9、赋值语句是(并行/串行)并行执行的,if语句是(并行/串行)串行执行的。 10、请列举三种可编程逻辑器件: EEPROM 、 GAL 、 FPGA 。 二、简答(20分,每小题5分) 1、简述VHDL程序的基本结构。

程序包(2) 实体(3) 结构体(5)若答出配置也可加1分 2、简述信号与变量的区别。 信号延时赋值,变量立即赋值(2) 信号的代入使用<=,变量的代入使用:=;(4) 信号在实际的硬件当中有对应的连线,变量没有(5) 3、简述可编程逻辑器件的优点。 ●集成度高,可以替代多至几千块通用IC芯片 –极大减小电路的面积,降低功耗,提高可靠性(1) ●具有完善先进的开发工具 –提供语言、图形等设计方法,十分灵活 –通过仿真工具来验证设计的正确性(2) ●可以反复地擦除、编程,方便设计的修改和升级(3) ●灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间(4) ●保密性好(5) 4、试比较moore状态机与mealy状态机的异同。 Moore输出只是状态机当前状态的函数(3) Mealy输出为有限状态机当前值和输入值的函数(5) 三、判断题(10分) library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。(2) entity rom is port( addr: in std_logic_vector(0 to 3);

牙周病学题库及答案1(1

牙周病学A1 型题 1.关于牙周病患者的全身病史,哪一项不准确? A.牙周治疗必须在全身疾病控制下才能进行 B.有助牙周病病因的全面分析 C.全身疾病改变对治疗的反应 D.提醒医生对特殊病人采取特殊治疗 E.全身疾病改变牙周组织对局部刺激的反应 2.关于牙周病史,哪一项不正确? A.就诊的原因及目的 B.过去的牙周治疗史 C . 口腔卫生习惯 D .是否有夜磨牙史,血液病史 E .发病时间与主要症状 3.关于牙周现病史,哪一项不正确? A.通过问诊收集病史 B .发病的时间、过程及可能的诱因 C .牙龈出血、牙周脓肿出现的情况 D . 吸烟史及家族史 E . 口腔卫生的情况 4.全身病史的内容,除了, A.传染病史 B.糖尿病 C.个人史 D.药物过敏史 E.癫痫病史 5..X 线片具有局限性,除了 A.拍X 线片费时及费用较高 B.不能显示软组织袋 C.不能精确反映邻间骨缺损的形态 D.不能准确反映颊、舌侧骨高度 E.X 线片显示的骨破坏比实际破坏轻 6.正常牙龈的最佳描述是 A.颜色是粉红色 B.颜色是鲜红色 C.颜色是暗红色 D.颜色是暗紫色 E.以上均可能 7.牙龈的临床特征,哪一项不正确? A.牙龈颜色的变化可呈局部或全口腔 B.皮肤黑者,正常牙龈可见色素沉着 C.正常的牙龈边缘通常较钝厚 D.炎症和创伤可引起牙龈外形的改变 E.炎症弥漫指已波及到附着龈

8.关于龈组织变化,哪一项不正确? A.健康牙龈坚实而有弹性 B.正常附着龈可有点彩或无点彩 C.龈裂是牙龈炎症的表现 D.慢性炎症导致结缔组织纤维和细胞的增加 E.牙龈肥大意味着牙周袋的形成 9.慢性牙龈炎症的体征,除了 A.溢脓 B.出血 C.颜色的改变 D.疼痛 E.牙龈红肿 10.牙周袋的最佳解释是 A.PD >3 伽 B.PD>5 伽 C.PD>3 mm,未见上皮附着向根方增殖 只与21.X 线片的读片内容为 D.冠根比例 E.牙周膜的宽度 F.不良充填体 G.牙槽骨的破坏形式 H.以上均是 21.X 线片的读片内容为 I.冠根比例 J.牙周膜的宽度 K.不良充填体 L.牙槽骨的破坏形式 M.以上均是 N.上皮附着向根方增殖有关 O.与骨丧失有关,上皮附着可正常 11.牙周探诊最重要的诊断意义是 A.附着丧失比袋深更有意义 B.袋越深,表明牙周病越重 C.牙周病的程度与龈缘的位置有关 D.牙龈出血是牙龈炎症的表现 E.袋内溢脓是牙周炎症加重的体征 12.牙周探诊的最佳力量是 A.10~20g B.15~20g C.20~25g D.20g 以下 E.25g 以上 13.牙周探诊的主要内容,除了

历年农商行考试真题及答案(DOC)

历年农商行考试真题 一、单选题 1、处置短期投资实际收到的收入大于账面价值的应计入(A )科目。 A、投资收益 B、利息收入 C、营业外收入 D、其他业务收入 2、下列对经营租入固定资产的描述有错误的是(D )。 A、租赁期较短 B、期满后需归还 C、不作为信用社自有资产处理 D、具有所有权 3、投资者转入的固定资产按(C )计价。 A、市价 B、评估价 C、投资各方确认价 D、历史成本 4、投资者投入的固定资产转入(A )科目。 A、实收资本 B、资本公积 C、盈余公积 D、本年利润 5、无偿调入的固定资产记入(B )科目。 A、实收资本 B、资本公积 C、盈余公积 D、本年利润 6、固定资产减少时记入(A )科目核算。 A、固定资产清理 B、营业外支出

C、其他业务支出 D、资本公积 7、(D )是信用社根据利润总额计算缴纳的税金。 A、营业税 B、房产税 C、城市维护建设税 D、所得税 8、信用社的资产安全程度的高低与资本充足率成正比,资本充足率在(C )以上可以认定为资本充足。 A、4% B、6% C、8% D、10% 9、存款撤销账户必须与开户信用社核对账户余额,经开户信用社审查同意后,办理销户手续。存款人销户时,需交回(A )。 A、各种重要空白凭证和开户许可证 B、各种重要空白凭证 C、开户许可证 D、不需交回各种重要空白凭证和开户许可证 10、信用社以效益性、安全性、流动性为经营原则,实行( B)。 A、独立核算、自我约束、自负盈亏、自担风险 B、独立核算、自主经营、自负盈亏、自担风险 C、独立核算、单独经营、自负盈亏、自担风险 D、单独核算、自主经营、自负盈亏、自担风险 11、信用社贷款,贷款余额占存款余额的比例不可超过( B )。 A、50% B、75% C、70% D、30% 12、金融机构弄虚作假,出具与事实不符的信用证、保函、票据、存单、资信证明等金融票证的,给予警告,没收违法所得的,处(C )。

相关主题
文本预览