当前位置:文档之家› 时间频率的高精度测量技术

时间频率的高精度测量技术

时间频率的高精度测量技术
时间频率的高精度测量技术

等精度频率计的实验报告

数字频率计 摘要 以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。 关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较 根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下: 1.主控器件比较与选择 方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。 方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。 综上所述,主控器件我选择方案一。 2.测量方法的比较与选择 方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。 方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。 方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。 综上所述,测量方法我选用方案三。 3. 界面显示方案的选择 方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。 方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。 考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。 二、理论分析与计算 1、键盘设计 系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。 2、计算公式 (1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

高精度时间间隔测量方法

高精度时间间隔测量方法综述 孙杰潘继飞 (解放军电子工程学院,安徽合肥,230037) 摘要:时间间隔测量技术在众多领域已经获得了应用,如何提高其测量精度是一个迫切需要解决的问题。在分析电子计数法测量原理与误差的基础上,重点介绍了国内外高精度时间间隔测量方法,这些方法都是对电子计数法的原理误差进行测量,并且取得了非常好的效果。文章的最后给出了高精度时间间隔测量方法的发展方向及应用前景。 关键词:时间间隔;原理误差;内插;时间数字转换;时间幅度转换 Methods of High Precision Time-Interval Measurement SUN Jie , PAN Ji-fei (Electronic Engineering Institute of PLA, HeFei 230037, China) Abstract: Technology of time-interval measurement has been applied in many fields. How to improve its precision is an emergent question. On the bases of analyzing electronic counter’s principle and error, this paper puts emphasis upon introducing high precision time-interval measurements all over the world. All these methods aim at electronic counter’s principle error, and obtain special effect. Lastly, the progress direction and application foreground of high precision time-interval measurement methods are predicted. Key Words: time interval; principle error; interpolating; time-to-digital conversion; time-to-amplitude conversion 0引言 时间有两种含义,一种是指时间坐标系中的某一刻;另一种是指时间间隔,即在时间坐标系中两个时刻之间的持续时间,因此,时间间隔测量属于时间测量的范畴。 时间间隔测量技术在通信、雷达、卫星及导航定位等领域都有着非常重要的作用,因此,如何高精度测量出时间间隔是测量领域一直关注的问题。本文详细分析了目前国内外所采用的高精度时间间隔测量方法,指出其发展趋势,为研究新的测量方法指明了方向。 1 电子计数法 1.1 测量原理与误差分析 在测量精度要求不高的前提下,电子计数法是一种非常好的时间间隔测量方法,已经在许多领域获得了实际应用,其测量原理如图1所示:

脉冲激光测距系统中高精度时间间隔测量模块的研究

第26卷第3期2007年6月 红外与毫米波学报 J.I nfrared M illi m .W aves Vol .26,No .3June,2007 文章编号:1001-9014(2007)03-0213-04 收稿日期:2006210228,修回日期:2007203205 Rece i ved da te:2006210228,rev ised da te:2007203205基金项目:中国科学院创新三期项目(11100404K221J W19) 作者简介:吴刚(19812),男,湖北武汉人,中科院上海技术物理研究所硕士研究生,电路与系统专业,现主要从事卫星定位系统中的时钟同步研究. 脉冲激光测距系统中高精度时间间隔测量模块的研究 吴 刚, 李春来, 刘银年, 戴 宁, 王建宇 (中科院上海技术物理研究所,上海 200083) 摘要:时间间隔的测量精度对脉冲激光测距系统的测量精度起决定作用.为此研制了一高精度时间间隔测量模块, 该模块基于专用时间数字转换芯片开发,采用延迟线插入法技术,最大测量时间可高达200m s,测时分辨率最高可达125p s,对应测距分辨率18.75mm,适用于远距离的测量.给出了硬件和软件设计方法以及模块的测试结果.关 键 词:脉冲激光测距;时间间隔测量;时间数字转换;延迟线插入法中图分类号:T N249 文献标识码:A STU DY ON HI GH RES OLUTI ON TI M E I NTERVAL M EASURE M ENT MODU LE I N PU LSE D LASER RANGI NG S YSTE M WU Gang, L I Chun 2Lai, L I U Yin 2N ian, DA IN ing, WANG J ian 2Yu (Shanghai I nstitute of Technical Physics,Chinese Acade my of Sciences,Shanghai 200083,China ) Abstract:The p recisi on of the pulsed laser ranging system was decided by the p recisi on of the ti m e interval measure ment . Theref ore,a high res oluti on ti m e interval measurement module was devel oped .The module is based on the s pecial ti m e 2t o 2digital conversi on chi p which adop ts the delay line inter polati on method .The maxi m u m measuring ti m e of the module is 200m s,and the maxi m u m ti m e res oluti on is 125p s,of which the corres ponding distance res oluti on is 18.75mm.The mod 2ule is es pecially suit f or the large distance measure ment .The hard ware and the s oft w are of the module as well as the testing results are als o p resented . Key words:pulsed laser ranging;ti m e interval measure ment;ti m e 2t o 2digital conversi on;delay line inter polati on method 引言 脉冲激光测距以其峰值功率高、探测距离远、测距精度高、对光源相干性要求低等优点,在工业、航空航天、大地测量、建筑测量和机器人等领域获得了广泛应用.不同的应用对测量范围与精度有不同的要求,在军事上,测量范围从几百米到几十千米,相应的精度要求从几十厘米到几百米;而在航空航天方面,从航天器间的对接到飞船的着陆,精度则要求在毫米量级.测量系统的测量精度主要依赖于接收通道的带宽、激光脉冲的上升沿、信噪比和脉冲激光传输的时间间隔测量精度,其中时间间隔的测量精 度对测距精度起决定作用[1~4] . 到目前为止,时间间隔的测量方法主要有3种: 模拟法、数字法和数字插入法[5] .其中数字插入法 是脉冲激光测距中精度最高的,主要有延迟线插入 法、模拟插入法和差频测相插入法3种.由德国ACAM 公司设计的一种高精度时间数字转换芯片T DC 2GP1采用的就是延迟线插入法技术. 利用T DC 2GP1芯片,设计和开发了一套基于PC I 总线的时间间隔测量模块.实验结果证明,该模块具有测量范围大、线性好、测量精度高的优点.此模块的开发和利用将有利于提高脉冲激光测距的测量精度. 1 脉冲激光测距系统结构 图1为脉冲激光测距系统的方框图.其工作过程是:首先,使整机复原,准备进行测量;同时触发脉冲激光发生器,产生激光脉冲.该激光脉冲有一小部分能量透过分束片,直接送到接收系统,作为计时的

高精度时间间隔测量方法综述_孙杰

综述与评论 计算机测量与控制.2007.15(2)  Com puter Measurement &C ontrol 145 中华测控网chinamca.co m 收稿日期:2006-03-06; 修回日期:2006-05-09。 作者简介:孙 杰(1975-),男,安徽合肥人,讲师,主要从事测控技术方向的研究。 文章编号:1671-4598(2007)02-0141-04 中图分类号:O63;TP273.5 文献标识码:A 高精度时间间隔测量方法综述 孙 杰,潘继飞 (解放军电子工程学院,安徽合肥 230037) 摘要:时间间隔测量技术在众多领域已经获得了应用,如何提高其测量精度是一个迫切需要解决的问题,在分析电子计数法测量原理与误差的基础上,重点介绍了国内外高精度时间间隔测量方法,这些方法都是对电子计数法的原理误差进行测量,并且取得了非常好的效果;最后给出了高精度时间间隔测量方法的发展方向及应用前景。 关键词:时间间隔;原理误差;内插;时间数字转换;时间幅度转换 Methods of High Precision Time -Interval Measurement Sun Jie ,Pan Jifei (Electr onic Eng inee ring Institute o f PL A ,H efei 230037,China ) Abstract :Technology of time -interval m easu rement has been app lied in many field s.H ow to improve its precision is an em ergent ques -tion.On the basis of an alyzing electronic counter 's principle and error ,this paper puts emphasis upon introducing high precision time -in ter -val measu rements all over the w orld.All these methods aim at electronic counter 's principle error ,and ob tain special https://www.doczj.com/doc/d817466979.html,s tly ,the pro -gress direction and ap plication foreg rou nd of high precision tim e -interval measurem ent meth od s are predicted. Key words :time in terval ;prin ciple error ;interpolating ;tim e -to -digital conversion ;time -to -amplitude con version 0 引言 时间有两种含义,一种是指时间坐标系中的某一刻;另一种是指时间间隔,即在时间坐标系中两个时刻之间的持续时间,因此,时间间隔测量属于时间测量的范畴。 时间间隔测量技术在通信、雷达、卫星及导航定位等领域都有着非常重要的作用,因此,如何高精度测量出时间间隔是测量领域一直关注的问题。本文详细分析了目前国内外所采用的高精度时间间隔测量方法,指出其发展趋势,为研究新的测量方法指明了方向。 1 电子计数法 1.1 测量原理与误差分析 在测量精度要求不高的前提下,电子计数法是一种非常好 的时间间隔测量方法,已经在许多领域获得了实际应用,其测量原理如图1所示。 图1 电子计数法测量时间间隔基本原理 量化时钟频率为f 0,对应的周期T 0=1/f 0,在待测脉冲上升沿计数器输出计数脉冲个数M ,N ,T 1, T 2为待测脉冲 上升沿与下一个量化时钟脉冲上升沿之间的时间间隔,则待测脉冲时间间隔T x 为: T x =(N -M ) T 0+T 1-T 2 (1) 然而,电子计数法得到的是计数脉冲个数M ,N ,因此其测量的脉冲时间间隔为: T ′x =(N -M ) T 0(2) 比较表达式(1)、(2)可得电子计数法的测量误差为Δ=T 1-T 2,其最大值为一个量化时钟周期T 0,产生的原因是待测脉冲上升沿与量化时钟上升沿的不一致,该误差称为电子计数法的原理误差。 除了原理误差之外,电子计数法还存在时标误差,分析表达式(2)得到: ΔT ′x =Δ (N -M ) T 0+(N -M ) ΔT 0(3) 比较表达式(3)、(2): ΔT ′x T ′x =Δ(N -M )(N -M )+ΔT 0T 0(4) 根据电子计数法原理,Δ(N -M )=±1,N -M =T ′x /T 0,因此: ΔT ′x =±T 0+T ′x ΔT 0/T 0 (5)T ′x ΔT 0/T 0即为时标误差,其产生的原因是量化时钟的稳定度ΔT 0/T 0,可以看出待测脉冲间隔T x 越大,量化时钟的稳定度导致的时标误差越大。 根据以上分析得出电子计数法具有以下特点: (1)测量范围广,容易实现,且能够作到实时处理。(2)存在时标误差与原理误差,限制了其测量精度。电子计数法是一种成熟的时间间隔测量方法,参考文献[1-3]都有一定的说明,有兴趣的读者可以参阅。 1.2 误差克服途径 时标误差可以采用高稳定度的时钟来克服,比如铷原子频率标准;量化误差的克服有许多方法,也是国内外研究的热点,可以将其分为以下三类。 第一类:提高量化时钟的频率,这带来的问题是时钟频率 DOI 牶牨牥牣牨牰牭牪牰牤j 牣cn ki 牣牨牨牠牬牱牰牪牤tp 牣牪牥牥牱牣牥牪牣牥牥牪

计算机毕业论文_基于FPGA的等精度频率计的设计与实现

目录 前言...............................................................1 第一章 FPGA及Verilog HDL..........................................2 1.1 FPGA简介.....................................................2 1.2 Verilog HDL 概述.............................................2 第二章数字频率计的设计原理........................................3 2.1 设计要求.....................................................3 2.2 频率测量.....................................................3 2.3.系统的硬件框架设计..............................................4 2.4系统设计与方案论证............................................5 第三章数字频率计的设计............................................8 3.1系统设计顶层电路原理图........................................8 3.2频率计的VHDL设计.............................................9 第四章软件的测试...............................................15 4.1测试的环境——MAX+plusII.....................................15 4.2调试和器件编程...............................................15 4.3频率测试.....................................................16

等精度频率的测量设计报告

等精度频率的测量设计报告 报告人:朱伯程(074100138)周哲远(074100137) 报告摘要:本文介绍了一种同步测周期计数器的设计,并基于该计数器设计了一个高精度的数字频率计。文中给出了计数器的VHDL编码,并对频率计的FPGA实现进行了 仿真验证,给出了测试结果。同时在分析了等精度测频在实现时存在的问题的基 础上,介绍了一种基于自适应分频法的频率测量技术,可达到简化测量电路、提高 系统可靠性、实现高精度和宽范围测量的目的 关键词:频率计VHDL FPGA 周期测量等精度自适应分频 一、实验原理 1.频率测量的几种方法: 工程上测量频率和周期的方法一般可以分为无源测频法、有源比较法、电子计数器3种。无源测频法又可分为谐振法和电桥法,常用于频率粗测,精度在1%左右。有源比较法可分为拍频法和差频法,前者是利用信号线性叠加,产生拍频现象,通过检测零差后现象测频,常用于低频测量,误差在零点几赫;后者是利用两个信号非线性叠加,产生差频现象,通过检测零差现象测频,常用于高频测量,误差为士20Hz左右。可见,以上在测量范围和精度上都难以达到要求。 电子计数器的测频原理实质上以比较法为基础,它将被测信号频率人与时基信号频率相比,两个频率相比得到的结果以数字的形式显示出来。同时,它在测量范围和精度上都能达到要求。 2.等精度测频基本原理 等精度频率测量技术又叫做多周期同步测量技术,它主要由被测信号计数器、参考信号计数器、同步闸门控制器、采样时间控制器以及运算单元等组成,工作原理下图所示。 波形图解:

根据设计任务的要求,因此我们选择用等精度测量法进行系统设计。 二、实验任务与要求 (一)任务设计一个简易等精度频率计。 (二)要求 a.测量范围信号:方波幅度:TTL电平; 频率:1Hz~1MHzb.测试误差≤0.1%(全量程) * 闸门时间:~1s,响应时间:~2s乘除运算: 单片机、FPGA、计算器计算 三、系统总体方案设计 根据测频过程的思路,可编写相应的软件。测频程序流程图下图所示: 根据流程图与要求,本实验的需要注意的地方: 1.计数器的位数。由于要测量的频率范围为1Hz~1MHz。所以可以设置计数器位数为20位。对于基准信号的频率,选用1MHz的标准脉冲信号。 2.分频器。首先要进行2分频。(供粗测使用)。再进行任意分频,供精测使用。 3.锁码器。为的是稳定计数器的最后数据。 4.在第一次计数完成之后,要能自动对计数器进行清零。

时间间隔测量技术综述

高精度时间间隔测量方法综述 孙 杰 潘继飞 (解放军电子工程学院,安徽合肥,230037) 摘要:时间间隔测量技术在众多领域已经获得了应用,如何提高其测量精度是一个迫切需要解决的问题。在分析电子计数法测量原理与误差的基础上,重点介绍了国内外高精度时间间隔测量方法,这些方法都是对电子计数法的原理误差进行测量,并且取得了非常好的效果。文章的最后给出了高精度时间间隔测量方法的发展方向及应用前景。 关键词:时间间隔;原理误差;内插;时间数字转换;时间幅度转换 Methods of High Precision Time-Interval Measurement SUN Jie , PAN Ji-fei (Electronic Engineering Institute of PLA, HeFei 230037, China ) Abstract: Technology of time-interval measurement has been applied in many fields. How to improve its precision is an emergent question. On the bases of analyzing electronic counter ’s principle and error, this paper puts emphasis upon introducing high precision time-interval measurements all over the world. All these methods aim at electronic counter ’s principle error, and obtain special effect. Lastly, the progress direction and application foreground of high precision time-interval measurement methods are predicted. Key Words: time interval; principle error; interpolating; time-to-digital conversion; time-to-amplitude conversion 0引言 时间有两种含义,一种是指时间坐标系中的某一刻;另一种是指时间间隔,即在时间坐标系中两个时刻之间的持续时间,因此,时间间隔测量属于时间测量的范畴。 时间间隔测量技术在通信、雷达、卫星及导航定位等领域都有着非常重要的作用,因此,如何高精度测量出时间间隔是测量领域一直关注的问题。本文详细分析了目前国内外所采用的高精度时间间隔测量方法,指出其发展趋势,为研究新的测量方法指明了方向。 1 电子计数法 1.1 测量原理与误差分析 在测量精度要求不高的前提下,电子计数法是一种非常好的时间间隔测量方法,已经在许多领域获得了实际应用,其测量原理如图1 量化时钟频率为 0f ,对应的周期001f T =,在待测脉冲上升沿计数器输出计数脉冲个数N M ,,1T ,2T 为待测脉 冲上升沿与下一个量化时钟脉冲上升沿之间的时间间隔,则待测脉冲时间间隔x T 为: ()210T T T M N T x -+?-= (1) 然而,电子计数法得到的是计数脉冲个数N M ,,因此其测量的脉冲时间间隔为: ()0' T M N T x ?-= (2) 比较表达式(1)(2)可得电子计数法的测量误差为21T T -=?,其最大值为一个量化时钟周期0T ,产生的原因是待 测脉冲上升沿与量化时钟上升沿的不一致,该误差称为电子计数法的原理误差。 除了原理误差之外,电子计数法还存在时标误差,分析表达式(2)得到: ()()00'..T M N T M N T x ?-+-?=? (3) 比较表达式(3)(2): ()()00 ''T T M N M N T T x x ?+--?=? (4) 根据电子计数法原理,()1±=-? M N ,0'T T M N x =-,因此: 00'0'T T T T T x x ??+±=? (5) 00'T T T x ??即为时标误差,其产生的原因是量化时钟的稳定度00T T ?,可以看出待测脉冲间隔x T 越大,量化时钟的稳 定度导致的时标误差越大。 作者简介:孙杰: (1975—),男(汉族),安徽合肥人,解放军电子工程学院讲师 潘继飞:(1978—),男(汉族),安徽凤阳人,解放军电子工程学院信号与信息处理专业博士生

利用相位估计算法实现ps量级的高精度时间间隔测量

万方数据

万方数据

万方数据

万方数据

2630仪器仪表学报第29卷 图5高精度时IbJ删隔测赶系统样机实物ng5ThephofoofthelimeinlervaImeasuremenIpmmfype 4.2测试结果 网6给fI{了采样率为l【)oMs/s,量化位数为14比特时,通道l(CHl)干¨通道2(cH2)进行的100次测量结果。 此外,表2给出厂上文提到的3种情况下的各通道的槲位(时延)估计精度和时间J’日J隔测量精度。由表中的数据,可以得出如F结论: 1)单通道相位(时间)测量精度和双通道时间间隔测莆精度均在lOps左右,三种情况的差异不太明显。相对来说,时间间隔测量精度在100Ms/s采样率、14比特量化的最高,优于lOps;在100Ms/s采样率、10比特量化时最低,略微超过10ps。 2)实验结果基本上体现不出3种情况下的精度差异,均低于表l的理论精度。这是冈为单通道相位(时间)的估计结果受触发误差和被测频标信号抖动的影响。这两个误差约为10ps,远大于相位(时间)估计误差。 3)作为双通道差的时间间隔测量结果中消除了被测频标信号抖动的影响,应该精度高于单通道测量结果。但是}}1于实验系统巾两块采集卡之问时钟同步存在一定抖动,降低了测量结果的精度,凶而时间问隔的测量精度约为lOps左右。 图6测量结果曲线(100MS/8采样率、14比特最化)¨g.6Phaseand“mejnleⅣalmeasurementresults (samI'lingrate100MS/s,】4b) 表2三种采样率下样机精度对比(厶r-10MHz,Ⅳ=l0“) Table2Accuracycompar勘nunderthr托c衄di60陋(矗f-10MHz.~=l024) 5结论 从实验数据与理论结果对比,可以发现由于实验系统各环节引入的噪声,使得测试结果难以反映3种测试情况的差异。综合来看,实验系统达到了lOps的测肇精度。 由于采用两块数据采集卡进行实验,两块卡之间的采样时钟抖动抬高了测量系统的本底噪声。下一步实验中将研制专用的数据采集和处理系统,在一块PCB上完成时钟的分配,数据的采集和相位的实时解算,以达到小型化、实时性和更高的精度;同时降低系统造价。如果对触发抖动和采样时钟的抖动处理得比较好,有望达到1ps精度。 此外,还需要进一步将相位(时延)估计算法移植到FPGA上实现,做到实时处理采集数据。这样一来系统的测量速率主要由数据采集决定,对于1024点F盯,25Ms/s时数据采集时间为4.096×10~s,则最高测黾速牢可以做到2,4414×104Hz。进一步提高采样率或者降低F丌点数,还可以提高测量速率。如果从实际需求出发.还可以降低FfTr的点数,比如将Ⅳ降为64,采样率f为25Ms/s.比特位数14b,可以得到的理论测量精度仍然优于0.2 ps。万方数据

等精度数字频率计的设计

等精度数字频率计的设计 李艳秋 摘要 基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于FPGA 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。 关键词等精度测量,单片机,频率计,闸门时间,FPGA Ⅱ

ABSTRACT Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the FPGA digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision Keywords Precision survey, microcontroller, frequency meter, strobe time,field programmable gate array Ⅱ

电力系统频率的高精度测量方法研究

电力系统频率的高精度测量方法研究 频率是电力系统和电气设备的重要运行参数,频率测量是电力系统和电气设备运行、监测、控制以及继电保护的基础。本文简单地介绍了测量电力系统频率的常用方法,对如何利用傅立叶算法计算电力系统频率进行了详细说明,以及对误差进行了分析。通过分析说明该算法选择适当的窗函数或者对采样间隔进行自适应调整可以满足高速、精确的测量要求。 标签:频率测量电压信号窗函数 0 引言 电能是当今世界主要能源之一,它的质量标准是以频率、电压和波形来衡量的。电能质量的好坏直接影响工农业生产和人民的生活。因此,正确地进行系统频率、电压管理,保证合格的电能质量,是相当重要的。 频率是检验电能生产质量的指标之一,也是衡量电力系统运行状态的重要参数。它反映了负荷与电源之间的动态能量平衡。在电力系统中,当系统电源出力低于负荷标称频率下的功率消耗,且系统热备用容量明显不足时,系统将由于有功不足导致电源机组低速运转而使系统频率下降,如不采取有效措施,将导致机组损坏、系统瓦解的重大恶性事故。因而电力系统运行中的主要任务之一,就是对频率进行监视和控制。同时,国民经济对电力供应的依赖性愈来愈强,电力用户对电能质量的要求愈来愈严格;从而,电力生产对电力系统频率测量提出了更高的要求。 本文介绍了测量电力系统频率的常用方法,对如何利用傅立叶算法计算电力系统频率进行了详细说明,并对误差进行了分析。通过分析说明该算法选择适当的窗函数或者对采样间隔进行自适应调整可以满足高速、精确的测量要求。 1 傅立叶算法 1.1 傅立叶算法的基本原理首先假设系统电压信号仅含基频分量,系统的额定基频为采样频率为f0,系统的实际频率为f=f0+△f,则电压信号可表示为: (1) 令,则 (2) 用离散差分方程代替(1-2)式的求导,并取时间间隔为一个测量周期T0=1/f0,得,则

时间间隔测量技术

时间间隔测量技术 一直接计数法 测量原理与直接计数法测量频率基本相同,区别在于测量时间间隔时,控制电子门的闸门时间等于所测的时间间隔。内部晶振振通过倍频或分频产生时基。在电子门打开期间,时基脉冲进入计数条进行计数。设所计的数值为N ,所选的时期为τ0,则所测时间间隔为 ττN =(5-1) 时间间隔测量的不确定度通常用绝对误差表示。对上式进行微分得 dN Nd d 00τττ+=第一项是晶振频率不准造成的,第二项与测频时一样,仍然是dN =±1。第一项如用频率准确度表示,则有 00 0τττττ±? ?=d N d 00 ττττ±? =d (5-2) 其中:τ—所测时间间隔 ττd —晶振周期或晶振频率准确度 图5-1时间间隔测量的直接计数法

由±1计数引入的测量不确定度称为测量分辨力。它等于测量仪所能选用的最小时基τ0。一般最小的时基为10ns ,最好的也只到5ns 。小于10ns 的间隔用其他方法测量,目前有三种游标法、内插法和A/D 变换法。 二游标法 利用长度测量中游标卡尺的原理。 在图5-1中,Δτ1和Δτ2均小于时基τ0,故测不出,此时Δτ1和Δτ2可用游标法测量。现以Δτ1的测量为例,如图5-2所示。 图5-2游标法(1) 原来的时基τ0称为主时基,需要产生一个副时基τ1,用τ1>τ0,但两者之差很小,即τ1-τ0≤τ0 当时间间隔起始脉冲A 到达时,触发副时基发生器,副时基信号与信号A 同步,副时基起始脉冲与随后到来的主时基脉冲间隔即为Δτ1。随后两个时基同时运行,由τ1>τ0,相当于副时基追赶主时基,每追过一个脉冲,两者的间隔就缩短τ1-τ0,当两者间隔为零时,一共追过了N 个脉冲,则Δτ1=N 1(τ1-τ0)。此式可从图5-2中准确得出。由图中可得 11101τττN N =?+) (0111τττ?=?N (5-3) Δτ2的测量略有些差异,如图5-3所示

高精度测频率

一.捕获法 现给出主要代码CaiJi.c #include "stm32f10x.h" #include "CaiJi.h" //配置系统时钟,使能各外设时钟 void RCC_Configuration(void) { SystemInit(); RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3, ENABLE); //时钟配置 RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA | RCC_APB2Periph_GPIOF | RCC_APB2Periph_AFIO , ENABLE ); } void GPIO_Configuration(void) { GPIO_InitTypeDef GPIO_InitStructure; GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6 | GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_OD; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_Init(GPIOF, &GPIO_InitStructure); GPIO_SetBits(GPIOF,GPIO_Pin_6 | GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9); GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; GPIO_Init(GPIOA, &GPIO_InitStructure); } void NVIC_Configuration(void) { NVIC_InitTypeDef NVIC_InitStructure; #ifdef VECT_TAB_RAM NVIC_SetVectorTable(NVIC_VectTab_RAM, 0x0); #else NVIC_SetVectorTable(NVIC_VectTab_FLASH, 0x0); #endif NVIC_PriorityGroupConfig(NVIC_PriorityGroup_0);

1 高精度测量方案及原理

1 高精度测量方案及原理 铂电阻传感器是利用金属铂(Pt)的电阻值随温度变化而变化的物理特性而制成的温度传感器。以铂电阻作为测温元件进行温度测量的关键是要能准确地测量出铂电阻传感器的电阻值。按照IEC751国际标准,现在常用的Pt1000(Ro=1 000 Ω)是以温度系数TCR=0.003 851为标准统一设计的铂电阻。其温度电阻特性是: 本温度测量系统采用三线制恒流源驱动法驱动铂电阻传感器。三线制恒流源驱动法是指用硬件电路消除铂电阻传感器的固定电阻(零度电阻),直接测量传感器的电阻变化量。图l为三线制恒流源驱动法高精度测量方案,参考电阻与传感器串联连接,用恒流源驱动,电路各元件将产生相应的电压,传感器因温度变化部分电阻的电压可以由后面的放大电路和A/D转换器直接测量,并采用2次电压测量—交换驱动电流方向,在每个电流方向上各测量一次。其特点是直接测量传感器的电阻变化量,A/D转换器利用效率高,电路输出电压同电阻变化量成线性关系。传感器采用三线制接法能有效地消除导线电阻和自热效应的影响。利用单片机系统控制两次测量电压可以避免接线势垒电压及放大器、A/D转换器的失调与漂移产生的系统误差,还可以校准铂电阻传感器精度。恒流源与A/D转换器共用参考基准,这样根据A/D转换器的计量比率变换原理,可以消除参考基准不稳定产生的误差,不过对恒流源要求较高,电路结构较为复杂。为了进一步克服噪声和随机误差对测量精度和稳定度的影响,最后在上位机中采用MLS数值算法实现噪声抵消,大大提高了温度测量精度和稳定度。 2 系统电路设计 2.1 三线制恒流源驱动电路 恒流源驱动电路负责驱动温度传感器Pt1000,将其感知的随温度变化的电阻信号转

等精度数字频率计的设计

等精度数字频率计的设计 (Design of equal precision digital frequency meter)作者:李欢(电子工程学院光信息科学与技术 1103班) 指导教师:惠战强 摘要:伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。 数字频率计是一种基本的测量仪器。它被广泛应用于航天、电子、测控等领域。采用等精度频率测量方法具有测量精度保持恒定,不随所测信号的变化而变化的特点。本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的涵义、优缺点,VHDL语言的历史及其优点,然后介绍了频率测量的一般原理。 关键字:电子设计自动化;VHDL语言;频率测量;数字频率计 Abstract The Electronic Design Automation (EDA) technology has become an important design method of analog and digital circuit system as the integrated circuit's growing. The EDA technology, which is closely connected with the electronic technology, microelectronics technology and computer science, can be used in designing electronic product automatically. Digital frequency meter is a basic measuring instruments. It is widely used in aerospace, electronics, monitoring and other fields. With equal precision frequency measurement accuracy to maintain a constant, and not with the measured signal varies.We firstly present some background information of EDA, FPGA/CPLD and VHDL;then introduced the general principle of frequency measurement. Keywords: Electronic Design Automation,VHDL, Frequency measurement,digital frequency meter.

相关主题
文本预览
相关文档 最新文档