当前位置:文档之家› 计算机组成实验报告四 实验4 基本模型机实验

计算机组成实验报告四 实验4 基本模型机实验

1、目的与要求

1、在掌握部件单元电路实验的基础上,进一步将其组成系统以构造一台基本模型实验计算机。

2、设计五条机器指令,并编写相应的微程序,具体上机调试,掌握整机软硬件组成概念。

参见《计算机组成原理实验指导书》

2、实验设备

硬件:DVCC-C8JH 实验箱。

计算机

DVCC-C8JH联机软件

3、实验步骤与源程序

线路连接:

a、跳线器J1~J12全部拨在右边(自动工作方式);

b、跳线器J16、J18、J23、J24全部拨在左边;

c、跳线器J13~J15、J19、J25拨在右边;

d、跳线器J20~J22、J26、J27连上短路片;

e、UJ1连UJ2,JSE1连JSE2,SJ1连SJ2;

f、MBUS连BUS2;

g、REGBUS连BUS5;

h、PCBUS连EXJ2;

i、ALUBUS连EXJ3;

j、ALUO1连BUS1;

k、EXJ1连BUS3;

L、将试验箱右侧(侧面)串口与计算机串口连接

步骤:

⑴连接线路,仔细查线无误后,接通电源。

⑵计算机单击“开始菜单”→程序→DVCC组成原理C8JH,

⑶软件界面单击“联接”工具按钮,应保证实验仪与计算机已经建立连接

⑷选择实验项目“基本模型机的设计与实现”

⑸单击“打开”工具按钮,选择文件C8JHE1,单击打开,屏幕左侧,出现文件内容,即微程序代码

⑹单击“调试”工具按钮,下载打开的源程序文件,然后可以单步机器指令,单步执行微指令,屏幕会出现数据的流图。

具体情况如下图示:

图1

图2 四、实验数据与心得体会

实验数据:

当全部微程序设计完毕后,应将每条微指令代码化,即按微指令格式将微程序流程图转化成二进制微代码表,如下表所示,再转换成16进制代码文件。

二进制微代码表:

设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。

设计机器指令程序如下(机器码为十六进制数据)。

监控程序的16进制文件格式(文件名C8JHE1):

程序:

$P00 00

$P01 10

$P02 0A

$P03 20

$P04 0B

$P05 30

$P06 0B

$P07 40

$P08 00

$P0A 01

微程序:

$M00 108105

$M01 82ED05

$M02 48C004

$M03 04E004

$M04 05B004

$M05 06A205

$M06 019A95

$M07 0DE004

$M08 011004

$M09 83ED05

$M0A 87ED05

$M0B 8EED05

$M0C 96ED05

$M0D 018206

$M0E 0FE004

$M0F 15A004

$M10 92ED05

$M11 94ED05

$M12 17A004

$M13 018005

$M14 182004

$M15 010A07

$M16 81D104

$M17 100A07

$M18 118A06

4)读写程序

①手动方法写代码程序(机器指令)步骤如下:

通过上一步将机器指令对应的微代码正确地写入E2ROM 2816芯片后,再进行机器指令程序的装入和检查。

A. 将“编程开关”置“运行”位置,“运行控制”开关置“运行”位置,“运行方式”开关置“单步”位置。

B. 拨动总清开关(0→1),微地址寄存器清零,程序计数器清零。然后使控制开关SWC、SWA开关置为“0 1”,按动一次“启动运行”开关,微地址显示灯LUA0~LUA5显示“010001”,再按动一次“启动运行”开关,微地址显示灯LUA0~LUA5显示“010100”,此时数据开关的内容置为要写入的机器指令,再按动一次“启动运行”开关,即完成该条指令的写入。若仔细阅读微程序流程,就不难发现,机器指令的首地址只要第一次给出即可,PC会自动加1,所以,每次按动“启动运行”开关,只有在微地址灯显示“010100”时,才设置内容,直到所有机器指令写完。

C. 写完程序后须进行检验。拨动总清开关(0→1)后,微地址清零,PC程序计数器

清零,然后使控制开关SWC、SWA为“0 0”,按动“启动运行”开关,微地址灯将显示“010000”,再按“启动运行”开关,微地址灯显示为“010010”,第三次按“启动运行”开关,微地址灯显示为“010111”,此时总线数据显示灯LZD0~LZD7显示为该首地址的内容,再按动一次“启动运行”开关,微地址灯显示为“010000”,2位数码管即显示RAM中的程序。不断按动“启动运行”开关,可检查后续单元内容。

注意:每次仅在微地址灯显示为“010000”时,2位数码管显示的内容才是相应地址中的机器指令内容。

②联机读/写微程序和机器指令

用联机软件的装载功能将16进制格式文件(文件名为C8JHE1)装入实验系统即可。

5)运行程序

①单步运行程序

A. “编程开关”置“运行”状态,“运行方式”开关置为“单步”状态,“运行控制”

开关置为“运行”状态。

B. 拨动总清开关(0→1),微地址清零,PC计数器清零,程序首地址为00H。

C. 按动“启动运行”开关,即单步运行一条微指令。对照微程序流程图,观察微地址

显示灯是否和流程一致。

②连续运行程序

A. “编程开关”置“运行”状态,“运行方式”开关置为“连续”状态,“运行控制”

开关置为“运行”状态。

B. 拨动总清开关,清微地址及PC计数器,按动“启动运行”开关,系统连续运行程序。

如果要停止程序的运行,只需将“运行控制”开关置为“停止”状态,系统就停机。C. 停机后,可检查存数单元0BH中的结果是否正确。

思考题:

1、机器指令包括哪两个基本要素?微指令又包括哪两个基本要素?程序靠什么实现顺

序执行?靠什么实现转移?

答:机器指令包括操作码和操作数两部分;微指令包括操作控制字段和顺序控制字段;

顺序结构的程序是严格按照程序中代码的先后执行的;如果有选择结构,就会根据

具体条件执行相应的代码。循环结构中也会有条件判断,会根据不同的情况执行不

同的代码的。有的还有交互技术,会根据使用者的要求或是外界的情况作出响应的;

从CM取指令到CMDR然后通过对OP。

1、当外部开关量输入KD0~KD7为00000111,运行程序后检查存数单元0BH中的结果

是否正确。

答:根据实验显示存数单元0BH中的结果正确。

2、当外部开关量输入同上,若运行程序后希望存数单元0BH中的结果是0FH,则应该

如何修改模型机监控程序的16进制格式文件(文件名C8JHE1)?

答:将修改模型机监控程序的16进制格式文件中的$M0B8EED05中修改0B之修改。

实验体会:

本次实验综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机。掌握了整机概念,并设计机器指令系统,编写相应的微程序,在所设计的模型计算机上调试运行;通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。

简单模型机实验报告

简单模型机实验报告 篇一:模型机实验报告 HUNAN UNIVERSITY 课程实习报告 题目:模型机 学生姓名 学生学号 XX0801328 专业班级计算机科学与技术(3)班指导老师方恺晴 完成日期 思考题: 1. 给定一个复合运算式子以及指令码IR[7..5]与八位BUS总线对应情况。要求写出七条指令新的指令码并写出复合运算执行mif文件。修改模型机电路调试程序以实现复合运算。 例:已知A=55H,B=8AH,C=F0H;IR[7..5]对应BUS8,BUS1,BUS3;写出(Aplus/B)^(/(/CplusB))的mif文件,并在模拟机上实现。 答:模拟机电路修改如下:存储器预设指令重设: 计算结果:(A+/B)^(/(/C+B))=42H 2. Microcomputer.vhd代码中进程ct1,ct2,ct3,ct4功能划分依据是什么?

ct1:微序列控制器下址跳转。 ct2:实现各种指令,主要集中在实现从存储器或者寄存器释放数据到总线上。 ct3:完成各种指令,从总线上装载数据到相应的存储器或者寄存器中。 ct4:生成下址,判断下址生成方式,根据不太那个的方式生成下址。 3. Microcomputer.vhd代码中如何定义并初始化RAM?type ram is array(0 to 37)of std_logic_vector(7 downto 0); --38*8ram signal ram8:ram:= (x”20”, x”1e”, x”80”, x”40”, x”20”, x”20”, x”1d”, x”c0”, x”20”, x”40”, x”21”, x”20”, x”1f”, x”80”, x”40”, x”22”, x”20”, x”1e”, x”c0”, x”22”, x”80”, x”e0”, x”21”, x”40”, x”23”, x”60”, x”23”, x”a0”, x”00”, x”55”, x”8a”, x”f0”,others=>x”00”) –initialize ram4 4. Microcomputer.vhd代码中bus_reg_t2 将ram8存储器中对应于ar中地址单元的数据取出来放到bus_reg_t2寄存器中。将r5寄存器中的数据装载到ram8存储器对应于ar中地址单元中。

计算机组成实验报告四 实验4 基本模型机实验

1、目的与要求 1、在掌握部件单元电路实验的基础上,进一步将其组成系统以构造一台基本模型实验计算机。 2、设计五条机器指令,并编写相应的微程序,具体上机调试,掌握整机软硬件组成概念。 参见《计算机组成原理实验指导书》 2、实验设备 硬件:DVCC-C8JH 实验箱。 计算机 DVCC-C8JH联机软件 3、实验步骤与源程序 线路连接: a、跳线器J1~J12全部拨在右边(自动工作方式); b、跳线器J16、J18、J23、J24全部拨在左边; c、跳线器J13~J15、J19、J25拨在右边; d、跳线器J20~J22、J26、J27连上短路片; e、UJ1连UJ2,JSE1连JSE2,SJ1连SJ2; f、MBUS连BUS2; g、REGBUS连BUS5; h、PCBUS连EXJ2; i、ALUBUS连EXJ3; j、ALUO1连BUS1; k、EXJ1连BUS3; L、将试验箱右侧(侧面)串口与计算机串口连接 步骤: ⑴连接线路,仔细查线无误后,接通电源。 ⑵计算机单击“开始菜单”→程序→DVCC组成原理C8JH, ⑶软件界面单击“联接”工具按钮,应保证实验仪与计算机已经建立连接 ⑷选择实验项目“基本模型机的设计与实现” ⑸单击“打开”工具按钮,选择文件C8JHE1,单击打开,屏幕左侧,出现文件内容,即微程序代码 ⑹单击“调试”工具按钮,下载打开的源程序文件,然后可以单步机器指令,单步执行微指令,屏幕会出现数据的流图。 具体情况如下图示:

图1 图2 四、实验数据与心得体会 实验数据:

当全部微程序设计完毕后,应将每条微指令代码化,即按微指令格式将微程序流程图转化成二进制微代码表,如下表所示,再转换成16进制代码文件。 二进制微代码表: 设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。 设计机器指令程序如下(机器码为十六进制数据)。 监控程序的16进制文件格式(文件名C8JHE1): 程序: $P00 00 $P01 10 $P02 0A $P03 20 $P04 0B $P05 30

实验4 模型机综合实验

模型机综合实验(微程序控制器) 在综合实验中,模型机作为一个整体来工作的,所有微程序的控制信号由微程序存储器uM输出,而不是由开关输出。在做综合实验之前,先用8 芯电缆连接J1 和J2,使系统处于非手动状态,这样实验仪的监控系统会自动打开uM的输出允许,微程序的各控制信号就会接到各寄存器、运算器的控制端口。此综合实验(1~7)使用的指令是模型机的缺省指令系统,系统自动默认装入缺省指令系统/非流水微指令系统文件:insfile1.mic。 在做综合实验时,可以用CPTH计算机组成原理实验软件输入、修改程序,汇编成机器码并下载到实验仪上,由软件控制程序实现单指令执行、单微指令执行、全速执行,并可以在软件上观察指令或微指令执行过程中数据的走向、各控制信号的状态、各寄存器的值。CPTH 软件的使用方法见第九章“CPTH 集成开发环境使用”。也可以用实验仪自带的小键盘和显示屏来输入、修改程序,用键盘控制单指令或单微指令执行,用LED 或用显示屏观察各寄存器的值。实验仪上的键盘使用方法见第八章“实验仪键盘使用”。 在用微程序控制方式做综合实验时,在给实验仪通电前,拔掉实验仪上所有的手工连接的接线,再用8 芯电缆连接J1 和J2,控制方式开关KC拨到“微程序控制”方向。若想用CPTH软件控制组成原理实验仪,就要启动软件,并用快捷图标的“连接通信口”功能打开设置窗口,选择实验仪连接的串行口,然后再点击“OK”按钮接通到实验仪。 实验1:数据传送实验/输入输出实验 1.在CPTH 软件中的源程序窗口输入下列程序,给R0赋值13h,把第四条指令改成Mov A,12H 2.将程序另存为EX1.ASM,将程序汇编成机器码,调试窗口会显示出程序地址、机器码、反汇编指令。 3.按快捷图标的F7,执行“单微指令运行”功能,观察执行每条微指令时,寄存器的输入/输出状态,各控制信号的状态,PC 及uPC如何工作。(见EX1.ASM程序跟踪结果)EX1.ASM程序跟踪结果

计算机组成原理实验报告四

经济管理学院08信息系统与信息管理专业1班______组、 学号姓名协作者教师评 定_____________ 实验题目计算机组成原理 实验四 一、实验目的 1.在掌握部件单元电路实验的基础上,将微程序控制器模块与运算器模块、存 储器模块组合成一起,组成一台基本模型计算机。 2.用微程序控制器来控制模型机的数据通道。 3.通过CPU运行五条机器指令组成的简单程序,掌握机器指令与微指令的关系,建立利用指令控制整机(输入、输出、运算、存储系统)的概念。 二、实验要求 1.理解基本模型机数据通道原理。 2.将五条机器指令及有关数据写入RAM和ROM中,通过CPU运行由五条机器指令组成的简单程序,掌握机器指令与微指令的关系。 3.记录程序运行过程的数据和结果,按记录填写答题框,完成练习操作题,观察程序的执行过程并记录运行结果。 三、实验方案 1、按图在试验仪上接好线后,仔细检查无误后接通电源。 2、用基本的五条机器指令编写实验程序。 3、与PC机联机将上面的实验程序( EX1)下载到试验仪里,该程序包括机器指令程序和微指令程序,机器指令程序装入6116存储器(RAM)里,微指令程序装入E2PROM2816控制存储器(ROM)中,然后运行程序。 4、程序运行前必须对微地址清零。使用单步微指令运行程序,在每次单步执行一条微指令的过程中,都要观察屏幕动态流线显示的运行结果,根据屏幕显示的结果去分析该条微指令的格式和功能是否一致。若不一致,必须检查原因。 5、观察和记录实验程序运行过程的数据及结果,将正确的选项填入实验记录表里。 四、实验结果和数据处理

(1)记录实验程序运行过程的数据及结果,将正确的答案填入下面的实验记录表里。 通过实验,从实践的角度对机器指令的工作原理有了深入的理解,对微指令的运

计算机组成实验报告

计算机组成实验报告 计算机组成实验报告 引言: 计算机组成实验是计算机科学与技术专业中重要的实践环节,通过实验可以加深对计算机硬件组成原理的理解,提高实践操作能力。本文将对计算机组成实验进行报告,包括实验目的、实验内容、实验过程和实验结果等方面的介绍。 一、实验目的 计算机组成实验的目的是通过实践操作,加深对计算机硬件组成原理的理解,包括计算机的基本组成部分、数据的存储和传输、指令的执行等方面。通过实验,可以提高学生的实践能力和解决问题的能力。 二、实验内容 本次计算机组成实验主要包括以下几个方面的内容: 1. 计算机硬件组成:了解计算机的基本组成部分,包括中央处理器(CPU)、内存、输入输出设备等。 2. 数据的存储和传输:学习计算机中数据的存储和传输方式,包括寄存器、内存、缓存等。 3. 指令的执行:掌握计算机中指令的执行过程,包括指令的获取、解码和执行等。 4. 性能评测:通过实验,了解计算机性能评测的方法和指标,包括运行时间、吞吐量等。 三、实验过程 在实验过程中,我们首先进行了计算机硬件组成的学习和了解。通过查阅相关

资料和参观实验室中的计算机设备,我们对计算机的基本组成有了初步的了解,包括CPU的结构、内存的类型和存储方式等。 接着,我们进行了数据的存储和传输实验。通过搭建实验平台,我们实现了数 据在寄存器、内存和缓存之间的传输,并观察了不同存储器之间的速度差异。 通过这个实验,我们深入理解了数据的存储和传输原理。 在指令的执行实验中,我们通过编写简单的汇编语言程序,实现了指令的获取、解码和执行过程。我们观察了不同指令的执行时间和资源消耗情况,并对指令 级并行和流水线等概念有了更深入的了解。 最后,我们进行了性能评测实验。通过运行不同的程序,我们测量了计算机的 运行时间和吞吐量,并对不同指标的评测结果进行了分析和比较。这个实验让 我们更加了解了计算机性能评测的方法和指标。 四、实验结果 通过实验,我们对计算机组成原理有了更深入的理解,并提高了实践操作能力。我们掌握了计算机硬件组成的基本知识,了解了数据的存储和传输方式,掌握 了指令的执行过程,并学会了计算机性能评测的方法。 实验结果表明,不同的硬件组成和指令执行方式会对计算机的性能产生影响。 通过合理的硬件设计和指令优化,可以提高计算机的运行速度和效率。同时, 我们也意识到计算机组成原理的深入理解对于解决实际问题和优化计算机性能 具有重要意义。 结论: 计算机组成实验是计算机科学与技术专业中重要的实践环节,通过实验可以加 深对计算机硬件组成原理的理解,提高实践操作能力。本次实验让我们对计算

基本模型机的设计与实现实验报告

基本模型机的设计与实现实验报告 本文将围绕“基本模型机的设计与实现实验报告”进行分析和阐述。基本模型机的设计与实现是计算机系统课程中的重点内容,是学 生理解计算机系统的核心;设计和实现基本模型机需要学生掌握计算 机组成原理的基本知识,能够编写汇编语言程序和理解存储器层次结 构等相关概念。 一、实验目的 本次计算机系统实验的目的是掌握CPU的设计与实现,以及理解 汇编语言的底层执行过程。通过本次实验,学生可以深入了解计算机 系统的基本组成部分,从而提高对计算机实现原理的认识和理解。 二、实验中设计与实现模型机的步骤 1、确定模型机性能要求 根据实验要求,我们需要设计出一个能够运行汇编语言程序的模型机。此时,我们需要确定模型机的性能需求,如运行速度、存储容量和输 入输出设备等方面。 2、设计和实现CPU 在模型机中,CPU是核心部件,所以首先需要设计和实现CPU。CPU需 要包括寄存器、算术逻辑单元、控制器和取指令等组成部分。由于我 们使用的是逻辑电路实现,所以需要进行逻辑门设计,采用Verilog 语言来实现。 3、设计和实现存储器 存储器是CPU所需的重要组成部分之一,我们需要为CPU设计实现一 套存储器,包括RAM和ROM两部分,其中RAM用于存储数据,ROM用于存储指令。 4、设计和实现输入输出设备 在模型机中,输入输出设备也是必不可少的部分。我们需要设计并实 现一套输入输出设备,用于用户输入指令和数据,以及模型机输出结果。

5、编写汇编程序 在完成模型机的设计和实现后,我们需要编写汇编程序来测试模型机的功能是否正常。我们可以编写一些简单的汇编程序来测试模型机的运行速度和结果准确性。 三、实验结果与分析 经过实验,我们成功地设计并实现了一套基本模型机,并编写了一些简单的汇编程序进行测试。模型机具有较高的运行速度和存储容量,并且可以实现输入输出设备的基本功能。同时,我们也发现了一些问题,如指令与数据存储的冲突等,需要进一步改进。在完成实验过程中,我们深刻理解了计算机系统的结构和运作原理,提高了对计算机系统的认识和理解能力。 总之,通过本次实验,我们深入了解了计算机系统的基本组成部分和运作原理,了解了汇编语言的底层执行过程和指令的执行流程。同时,我们也提高了CPU、存储器和输入输出设备的设计和实现能力,以及Verilog语言的使用能力。这对我们将来从事计算机系统工作的过程中有相当明显的帮助。

基本模型机设计与实现 实验报告

____学院____专业_____班______组、学号______ 姓名_____协作者_____ 教师评定_____________ 实验题目_基本模型机设计与实现______________________ 1.实验目的与要求: 实验目的: 1.在掌握部件单元电路实验的基础上,将微程序控制器模块与运算器模块、存储器模块组合成一起,组成一台基本模型计算机。 2.用微程序控制器来控制模型机的数据通道。 3.通过CPU运行五条机器指令组成的简单程序,掌握机器指令与微指令的关系,建立利用指令控制整机(输入、输出、运算、存储系统)的概念。 实验要求: 记录程序运行过程的数据和结果,按记录填写答题框,完成练习操作题,观察程序的执行过程并记录运行结果。 2.实验方案: 1.实验连线。 2.试验程序。 3.修改和编写试验仪RAM和ROM数据的方法。 4.结果测试。 3.实验结果和数据处理: 练习操作题记录表:(注意:题目不用写入实验报告)

4.结论 1.每条指令执行要分三步,第一步是取指令,由01、02微指令实现;第二步是判别指令,判别取的是什么指令,转到相应的分支去,由P (1)信号参与控制;第三步是具体执行指令,具体执行相应分支的每一条微指令。 2.每次运行前,都要拨动CLR开关清零(1→0→1)。清零后,微地址显示灯应为000000。 3.窗口显示的EX1的源文件,包括机器指令程序和微指令程序的微代码,$P开头是机器指令程序,$M开头是微指令程序的微代码. 5.问题与讨论及实验总结 如何修改试验仪的RAM和ROM的数据? 答:先选中要修改的该层,把该地址单元的内容全部输入,等光标自动移到下一个地址单元时才完成对该地址单元内容的修改。接着使用该菜单栏中的“调试”菜单下的“刷新数据”或F5热键来对“程序RAM”“微指令ROM”进行刷新,把电脑上的数据传到实验仪中。 6.思考题:

计算机组成原理简单模型机实验

实验四简单模型机实验 1.1实验目的 1)将微程序控制器模块通过总线同运算器模块、存储器模块联机,组成一台模型计算机; 2)用微程序控制器控制模型机数据通路; 3)通过CPU运行5条机器指令组成的简单程序,掌握机器指令与微指令的关系,牢固建立机器的整机概念。 1.2电路图 本次实验用到前几次实验所有电路,将几个模块组成一台简单计算机,由微程序控制器控制数据通路,实现cpu从内存取出一条机器指令到执行指令结束的一个指令周期,由微指令组成的序列来完成,一条机器指令对应一个微程序。 图1 电路图 1.3 实验原理 (1)PC计数器初始值为“0”,微程序默认从00地址开始执行,产生控制信号,使PC的地址通过ABUS将送到存储器(6116)的地址锁存器AR中, PC=PC+1;(2)读出存储器中存放内容,通过DBUS送到IR指令寄存器中,实现指令译码,指令的操作码送至微程序控制器的程序跳转控制部分,在P(1)的控制下与微程序中储存的下一条指令地址进行逻辑运算,产生真正的下一条微程序地址;(3)在微程序的控制下单步执行微指令序列。

1.4 微指令格式 表1 微指令格式 1.5 微程序流程图: 图2 微程序流程图

1.6微程序代码表 1.7 数据通路总体图 图3 数据通路总体图

五条机器指令格式(其中,A为内存地址8bit): RAM中装入的程序和数据(其中,地址为8进制): 1.8 实验任务及步骤 (1)实验连线:本次实验大部分的连线已由教师完成,请同学们对照微指令格式,完成微程序控制器的剩余部分连线。 (2)实验环境初始化:实验平台通电前请关闭DR1(74ls273),DR2(74ls273),存储器(6116)的地址锁存器(74ls273),微程序控制器的地址锁存器(74ls175)的自动清零功能,将几个芯片的-MR引脚置为“1”。 时钟发生器的功能设定为单步执行,具体信号为:STOP=0,STEP=1。 (3)加电运行初始化:①指令寄存器IR自动清零,程序计数器PC手动清零,将两片74ls163的ENT,ENP引脚置“1”,-CR引脚置“0”,打开三态门开关,给单步时钟脉冲;

基本模型机实验报告

基本模型机实验报告 一、实验目的 本实验旨在通过构建一个基本模型机,深入了解计算机的工作原理,包括数据的二进制表示、指令执行、内存管理以及简单的输入输出。 二、实验设备 1. 微处理器(如 Intel 8080) 2. 存储器芯片(如 Intel 2114) 3. 输入设备(如开关或键盘) 4. 输出设备(如LED灯或显示器) 5. 电源 三、实验步骤 步骤一:构建模型机 根据实验设备,将微处理器、存储器、输入设备和输出设备连接起来,形成一个简单的模型机。确保所有连接正确无误,电源供应稳定。

步骤二:数据表示与存储 在模型机中,使用二进制数表示数据。将数据存储在存储器中,并观察数据在存储器中的表示形式。例如,使用开关模拟二进制数的0和1,将开关按下表示0,不按下表示1。 步骤三:指令执行 编写简单的汇编指令,如加法指令,并在模型机上执行。观察指令的执行过程,包括取指令、解码指令、执行指令和写回结果等步骤。 步骤四:内存管理 模拟内存的读写操作,了解内存地址的概念以及如何通过地址访问存储在内存中的数据。观察内存地址的增加和减少对数据读写的影响。 步骤五:输入输出操作 通过输入设备输入数据,观察模型机如何将输入的数据存储在内存中。然后通过输出设备输出数据,了解输出数据的表示形式。 四、实验结果与分析

通过本次实验,我们了解了计算机的基本工作原理,包括数据的二进制表示、指令执行、内存管理和输入输出操作。在实验过程中,我们观察到微处理器负责执行指令,存储器用于存储数据和指令,输入设备用于输入数据,输出设备用于输出数据。此外,我们还了解了内存地址的概念以及如何通过地址访问存储在内存中的数据。 五、结论与建议 本次实验使我们深入了解了计算机的基本工作原理,并掌握了构建简单模型机的方法。为了进一步提高实验效果,建议在未来的实验中增加更多的设备和功能,例如中断处理、多任务处理等,以便更全面地了解计算机的工作原理。同时,建议在实验过程中注重细节和观察,以便更好地理解实验结果和原理。

基本模型机设计与实现实验报告

实验报告时间:2011.5.6 基本模型机设计与实现 一、目的要求 (1)在掌握单元电路实验基础上,将各部分组成系统,构成一台基本模型计算机。 (2)为其定义五条机器指令,并编写相应的微程序,具体上机调试掌握整机概念。 二、实验仪器与试剂 计算机组成原理实验箱。 三、实验原理 1、实验中所用的基本模型机实验原理如图1所示。 图1 基本模型机实验原理框图 四、实验步骤 1、写程序。

方法一:手动写入 ①将机器指令对应的微代码正确写入2816中。 ②使用控制台KWE和KRD进行机器指令的装入和检查。 方法二:联机读/写程序(略) 2、运行程序。单步运行程序、连续运行。 五、实验现象、结果记录及整理 首先验证微控制器的存储特性。将编程开关置为“写入”状态,写入如表2微地址为06~0D的微代码。每写入一条指令,按一下“启动运行”开关。再将微地址和总清开关置0,编程开关置为“读”状态,即可验证是否存储正确。若有错误,则需检查连线或者是重新输入。经实验,成功显示。最后,将编程开关置为“运行”状态,即可运行我们写入的微程序。经实验,结果显示正确。 六、分析讨论与思考题解答(两个解答选择一个填写,也可以自己修改一下填写) 解答一: 通过这次实践,不仅让我对计算机的基本组成、简单运算器的数据传送通路组成、静态随机存取存储器RAM工作特性及数据的读写方法、时序信号发生电路组成原理、微程序控制器的设计思想和组成原理、微程序的编制、写入过程有了进一步的了解, 也让我觉得自己的动手能力有了很大的提高;自信心也增强了,在课程设计中自己动脑子解决遇到的问题,书本上的知识有了用武之地,这巩固和深化了自己的知识结构。 解答二: 通过这次实践,我相信,只要自己在每一次实践中都能仔细思考,课程设计其实都不会很难,关键在于自己能不能认真思考,能不能亲自动手做实验,而不是想着其他人的劳动果实,其次你还要多操作,只有多操作才能从中发现问题,才能及时向老师和同学请教,解决问题,从而更好的掌握书本中知识。还有通过这次实践也让我懂得了:不在于你做得好不好,关键在于你能否认真去对待,在于你能否通过这次设计对课本上知识有了更深刻的认识。

计算机组成原理-模型机综合实验

实验设计方案 1、在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组 成系统,构造一台基本模型计算机; 2、 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 3、 在原有程序的基础上修改一条指令和增加两条指令。 2. 实验原理、实验流程或装置示意图 1、实验内容:根据模型机的指令系统,编写相应的微程序,并上机调试运行,观察并 记录结果。 2、实验原理: 在第一部分的单元实验中,所有的控制信号是人为用 SWITCH 单元产生的,但是在实际 的CPU 中,所有的控制信号都是由 CPU 自动产生的。所以在本次实验中我们用微程序来控制, 自动产生各 部件单元控制信号, 实现特定指令的功能。这里,计算机数据通路的控制将由微 程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由 微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验设计了五条机器指令,其指令格式如下: ;输入,“INPUT'设备中的开关状态T R0 ;二进制加法, R0+[ add 门 T R0 ;存数,R (H[ addr : ;输出,[addr BUS ;无条件转移,addr T PC 4位为操作码。其中IN 为单字长,其余为双字长指令, XXXXXXXX^ addr 对应的二进制地址码。 为了向RAM 中装入程序和数据, 检查写入是否正确, 并能启动程序执行, 还必须设计三 个控制台操作微程序。 存储器读操作(READ :拨动总清开关 CLR 后,控制台开关 SWB SWA 为“ 00”时,按 START 微动开关,可对 RAM 连续手动读操作。 存储器写操作(WRITE :拨动总清开关 CLR 后,控制台开关 SWB SWA 设置为“ 01”时, 按 START 微动开关可对RAM t 行连续手动写入。 启动程序(RUN :拨动总清开关 CLR 后,控制台开关SWBSWA 设置为“11”时,按START 微动开关,即可转入到第 01号“取址”微指令,启动程序运行。 上述三条控制台指令用两个开关 SWB SWA 勺状态来设置,其定义如下: 助记符 IN ADD addr STA addr OUT addr JMP addr 机器指令码的前 机器指令码 00000000 00010000 XXXXXXXX 00100000 XXXXXXXX 00110000 XXXXXXXX 01000000 XXXXXXXX 说明

基本模型机实验心得

基本模型机实验心得 一、引言 基本模型机(Basic Model Machine,简称BMM)是一种用于计算 机组成原理教学的模型机器。通过搭建BMM,可以帮助学生深入理解计算机的工作原理和组成结构。本文将分享我在搭建BMM过程中的 实验心得。 二、搭建BMM 1. 准备工作 在搭建BMM之前,需要准备好以下材料:电路板、电子元件(如电阻、电容、晶体管等)、面包板线、万用表等。 2. 组件安装 首先需要根据BMM的电路图,将各个组件按照正确的位置进行安装。在安装过程中,需要仔细检查每个元件的极性和方向是否正确。 3. 连接线路 组件安装完成后,需要使用面包板线连接各个组件之间的线路。这一 步需要特别注意连接线路时要保证线路的通畅性和稳定性。 4. 调试测试

完成连接线路后,需要进行调试测试。首先需要使用万用表检查各个 元件之间是否存在短路或断路情况。接着可以通过给BMM输入指令 来测试其运行情况。 三、实验心得 1. 细心认真是关键 在搭建BMM的过程中,细心认真是非常关键的。因为BMM的组件 非常多,而且每个元件的安装和连接都需要仔细检查。如果有任何一 个元件安装不正确或连接不稳定,都可能会导致BMM无法正常运行。 2. 需要耐心 搭建BMM需要很长时间和耐心。因为需要进行大量的组件安装和线 路连接工作,而且调试测试也需要一定的时间。因此,在搭建BMM 之前,需要充分准备好时间和耐心。 3. 学习效果显著 通过搭建BMM,我深刻地理解了计算机组成原理中各个组件之间的联系和作用。同时,通过输入指令来测试BMM的运行情况,我也更加 清楚地了解了计算机如何执行指令并完成各种任务。 4. 有助于培养动手能力 搭建BMM需要进行大量的实际操作,这对于培养学生的动手能力非 常有帮助。通过实际操作,学生可以更加深入地理解计算机组成原理,

计算机原理实验四CPU与简单模型机设计实验操作步骤

5.1 CPU与简单模型机设计实验 5.1.1实验目的 (1)掌握一个简单CPU的组成原理。 (2)在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3)为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 5.1.2实验设备 PC机一台,TD-CMA 实验系统一套。 5.1.3实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计 算机。CPU由运算器(ALU )、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR )、程序计数器(PC)和地址寄存器(AR )组成,如图5-1-1所示。这个CPU在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU必须和主 存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输 出部件,以构成一个简单的模型计算机。 I ------------------------------------ 1 AR / ALU ' 图5-1-1 基本CPU构成原理图 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD芯片中。CLR连接至CON单元的总清端CLR,按下CLR按钮,将使PC清零,LDPC和T3相与后作为计数器的计数时钟,当LOAD为低时,计数时钟 到来后将CPU内总线上的数据打入PC。

LDPC T3 CLR LOAD 图5-1-2程序计数器(PC)原理图

本模型机和前面微程序控制器实验相比, 新增加一条跳转指令 JMP ,共有五条指令:IN (输入)、ADD (二进制加法)、OUT (输出)、JMP (无条件转移),HLT (停机),其指令 格式如下(高4位为操作码): 助记符 机器指令码 说明 IN 0010 0000 IN -R0 ADD 0000 0000 R0 + R0 -^R0 OUT 0011 0000 R0 TOUT JMP addr 1110 0000 ******** addr TPC HLT 0101 0000 停机 其中JMP 为双字节指令, 微程序控制器实验的指令是通过手动给出的, 现在要求CPU 自动从存储器读取指令并执行。 根据以上要求,设计数据通路图,如图 5-1-3所示。 本实验在前一个实验的基础上增加了三个部件,一是 PC (程序计数器),另一个是AR (地址寄存器),还有就是MEM (主存)。因而在微指令中应增加相应的控制位,其微指令 格式 如表5-1-1所示。 表5-1-1微指令格式 23 22 21 20 19 18-15 14-12 11-9 8-6 5-0 M23 M22 WR RD IOM S3-S0 A 字段 B 字段 c 字段 MA5-MA0 A 字段 B 字段 C 字段 系统涉及到的微程序流程见图 5-1-4所示,当拟定“取指”微指令时,该微指令的判别 测试字段为P<1> 都使用的公用微指令,因此 P<1>的测试结果出现多路分支。本机用指令寄存器的高 6位 ******** 为addr 对应的二进制地址码。 其余均为单字节指令, 14 13 12 选择 0 0 0 NOP 0 0 1 LDA 0 1 0 LDB 0 1 1 LDR0 1 0 0 保留 1 0 1 LOAD 1 1 0 LDAR 1 1 1 LDIR 11 10 9 选择 0 0 0 NOP 0 0 1 ALU B 0 1 0 R0 B 0 1 1 保留 1 0 0 保留 1 0 1 保留 1 1 0 PC B 1 1 1 保留 8 7 6 选择 0 0 0 NOP 0 0 1 P<1> 0 1 0 保留 0 1 1 保留 1 0 0 保留 1 0 1 LDPC 1 1 0 保留 1 1 1 保留 图5-1-3数据通路图

广东海洋大学计算机组成原理课程设计实习报告(最新版)--基本模型机的实现

本科生课程实习 基本模型机的设计与实现 课程名称计算机组成与结构课程实习 学生姓名 学生学号 所在专业计算机科学与技术 所在班级 指导教师 成绩 2019年12月19日

目录 1 设计任务与要求 (2) 1.1 设计目的 (2) 1.2 设计内容 (2) 1.3 设计要求 (2) 2 设计思想 (2) 2.1 主要使用芯片 (2) 2.2 基本原理 (2) 3 设计方案 (3) 3.1 指令格式表 (3) 3.2 指令流程图与控制信号表 (4) 3.3 接线图 (6) 3.4 模块功能 (6) 4 测试结果及分析 (7) 4.1 测试过程 (7) 4.2 测试结果 (7) 5 源程序 (11) 6 总结 (12) 参考文献 (12)

基本模型机的设计与实现 1设计任务与要求 1.1 设计目的 (1)将微程序控制器同执行部件(整个数据通路)联机,组合一台模型计算机; (2)用微程序控制器控制模型机数据通路 (3)通过CPU运行九条指令(排除中断指令)组成的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念 1.2 设计内容 设计不少于10条指令的指令系统,其中包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。包括直接、间接、变址和相对寻址等多种寻址方式。设计出微程序,其中数据字长为8位,采用定点补码表示,指令字长为8的整数倍。微指令字长为38位。上机调试,并给出测试思路和具体程序段。 1.3 设计要求 了解并掌握计算机组成原理设计的一般方法,具备初步的独立分析和设计能力;通过该课程设计的学习,总结计算机组成原理课程的学习内容,层次化设计方法、多路开关,逻辑运算部件,微程序控制的运算器设计、微程序控制的存储器设计、简单计算机的设计。提高综合运用所学的理论知识和方法独立分析和解决问题的能力。 2 设计思想 2.1 主要使用芯片 该实验用到了GAL22V10,74LS181,HN58C65,74LS298,ISPLI1016,IDT7132等芯片。 2.2 基本原理 微指令的格式如下所示:

计算机组成原理模型机实验报告

资料范本 本资料为word版本,可以直接编辑和打印,感谢您的下载 计算机组成原理模型机实验报告 地点:__________________ 时间:__________________ 说明:本资料适用于约定双方经过谈判,协商而共同承认,共同遵守的责任与义务,仅供参考,文档可直接下载或修改,不需要的部分可直接删除,使用时请详细阅读内容

实验六计算机系统综合设计与实现 一、实验目的 1、深入理解计算机系统工作的基本原理,建立整机概念。 2、融会贯通计算机组成原理课程的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。 3、培养科学研究的独立工作能力,取得工程设计与组装调试的实践经验。 二、实验要求 1、将已经设计的运算器、存储器和控制器连接,构建完整的计算机系统; 2、编写一段可以实现一定功能的指令程序,进行计算机整机系统功能的验证。 3、所有任务要求功能仿真和必要的验证。实验完成后,一周内提交实验报告。 三、实验设备 PC机+ QuartusⅡ10.0 + FPGA(DE2-115)+TEC-8实验箱 四、计算机系统(TEC-8)综合逻辑框图 硬连线控制器控制信号切换电路ALU A端口B端口C Z R0 R1 R2 R3 IR PC AR 双端口RAM DBUS 五、实验任务 1、将实验二的运算器、实验三的存储器和实验五的控制器连接,构建完整的计算机系统; 2、计算机整机系统功能测试,进行功能仿真和时序仿真并在DE2-115上验证。 (1)根据指令系统,编写一段可以实现一定功能的程序,要求: 有一个合理的运算功能和逻辑关系; 指令数量:不少于8条;

《计算机组成原理》学生实验报告

《计算机组成原理》 学 生 实 验 报 告 (2011~2012学年第二学期) 专业:信息管理与信息系统班级: A0922 学号:10914030230 姓名:李斌

目录 实验准备------------------------------------------------------------------------3 实验一运算器实验-----------------------------------------------------------7 实验二数据通路实验-------------------------------------------------------13 实验三微控制器实验--------------------------------------------------------18 实验四基本模型机的设计与实现------------------------------------------22

实验准备 一、DVCC实验机系统硬件设备 1、运算器模块 运算器由两片74LS181构成8位字长的ALU。它是运算器的核心。可以实现两个8位的二进制数进行多种算术或逻辑运算,具体由74181的功能控制条件M、CN、S3、S2、S1、S0来决定,见下表。两个参与运算的数分别来自于暂存器U29和U30(采用8位锁存器),运算结果直接输出到输出缓冲器U33(采用74LS245,由ALUB信号控制,ALUB=0,表示U33开通,ALUB=1,表示U33不通,其输出呈高阻),由输出缓冲器发送到系统的数据总线上,以便进行移位操作或参加下一次运算。 进位输入信号来自于两个方面:其一对运算器74LS181的进位输出/CN+4进位倒相所得CN4;其二由移位寄存器74LS299的选择参数S0、S1、AQ0、AQ7决定所得。触发器的输出QCY就是ALU结果的进位标志位。QCY为“0”,表示ALU结果没有进位,相应的指示灯CY灭;QCY为“1”,表示ALU结果有进位,相应的指示灯CY点亮。 2、移位寄存器模块 采用74LS299(U34),它具有并行接数、逻辑右/左移、保持、带进位右/左移位运算等功能,具体由S0,S1,M,DS0,DS7决定。T4是它的工作脉冲,正跳变有效。

相关主题
文本预览
相关文档 最新文档