当前位置:文档之家› 基于MATLAB的数字基带传输系统的仿真 课程设计

基于MATLAB的数字基带传输系统的仿真 课程设计

基于MATLAB的数字基带传输系统的仿真 课程设计
基于MATLAB的数字基带传输系统的仿真 课程设计

通信工程专业《通信仿真综合实践》研究报告

基于MATLAB的数字基带传输系统的仿真设计

学生姓名:***

学生学号:20*****

指导教师:**

所在学院:信息技术学院

专业班级:通信工程

中国

2016 年5月

信息技术学院

课程设计任务书

信息技术院通信工程专业 20** 级,学号 201***** 姓名 ****

一、课程设计课题:

基于MATLAB的数字基带传输系统的仿真设计

二、课程设计工作日自 2016 年 5 月 12 日至 2016 年 5 月 24 日

三、课程设计进行地点:图书馆

四、程设计任务要求:

1.课题来源:

指导教师指定题目

2.目的意义:.

1)综合应用《掌握和精通MATLAB》、《通信原理》等多门课程知识,使学生建立通信系统的整体概念

2)培养学生系统设计与系统开发的思想

3)培养学生独立动手完成课程设计项目的能力

3.基本要求:

1) 数字基带信号直接送往信道:

2)传输信道中的噪声可以看作加性高斯白噪声

3)可用滤波法提取定是信号

4)对传输系统要有清楚的理论分析

5)把整个系统中的各个子系统自行构造,并对其性能进行测试

6)最终给出信号的仿真结果(信号输出图形)

课程设计评审表

基于MATLAB 的数字基带传输系统的仿真

概述 :本课程设计主要研究了数字信号的基带传输的基本概念及数字信号基带传输的传输过程和如何用MATLAB 软件仿真设计数字基带传输系统。首先介绍了本课题的理论依据及相关的基础知识,包括数字基带信号的概念,数字基带传输系统的组成及各子系统的作用,及数字基带信号的传输过程。最后按照仿真过程基本步骤用MATLAB 的仿真工具实现了数字基带传输系统的仿真过程,对系统进行了分析。

第一部分 原理介绍

一、数字基带传输系统 1)数字基带传输系统的介绍

未经调制的数字信号所占的频谱是从零频或很低频率开始,称为数字基带信号。在某些具有低通特性的有线信道中,特别是在传输距离不太远的情况下,基带信号可以不经载波调制而直接传输。这种不经载波调制直接传输数字基带信号的系统,称为数字基带传输系统。 数字基带系统的基本结构可以由图1 的模型表示.其中包括发送滤波器、传输信道、接收滤波器、抽样判决等效为传输函数为H (w) 基带形成网络,对于无码间干扰的基带传输系统来说, H (w) 应满足奈奎斯特第一准则, 在实验中一般取H (w) 为升余弦滚降特性.在最佳系统下, 取C(w) = 1,GT (w) 和GR(w) 均为升余弦平方根特性.传输信道中的噪声可看作加性高斯白噪声, 用产生高斯随机信号的噪声源表示. 位定时提取电路,在定时精度要求不高的场合, 可以用滤波法提取定时信号,滤波法提取位定时的原理可用图2表示。

图1 基带传输系统模型

设发送滤波器的传输特性 ,

ω

ωπ

d e H t g jwt R ?

-=

)(21

)()(ωT G

若设信道的传输特性为C)(w),接收滤波器的传输特性为 ,

则基带传输系统的总传输特性为

其中单位冲激响应

H(t)是在单个δ(t)作用下,H(w)形成的输出波形.接收滤波器输出信号r(t)可表示为

是加信噪声n(t)经过滤波器后输出的噪声。 a 为滚降系数。

图2 滤波法提取定时信号原理图

根据以上系统分析, 用SIMU LINK 搭建仿真模型. 首先把系统分解成信号发生器、发送/ 接收滤波器、传输信道、Manchester 编/ 解码器、位定时提取电路和采样判决电路这几个子系统. 然后对子系统分别进行构造和测试: 这些子系统是由SIMULINK 模块库提供的基本模块以及通信工具箱、数字信号处理工具箱提供的专用模块搭建并且经过封装形成的。 (1)发送滤波器

它的功能是产生适用于信道传输的基带信号波形。发送滤波器用于压缩输入信号频带,把传输码变换成适宜于信道传输的基带信号波形 (2)信道

是允许基带信号通过的媒介,通常为有线信道,如双绞线、同轴电缆等。 (3)接收滤波器

它用来接收信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器

是在传输特性不理想及噪声背景下,在规定时刻对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)Manchester 编码器/ 解码器

)

()()()(ωωωωR T G C G H =)()(h )(t n nT t a t r R s n n +-=∑

∞-∞

ωπ

d e H t jwt ?

-=

)(21

)(h )(ωR G )(t n R

为便于在接收端提取位定时信号, 在传输中使用了含有丰富定时信息的Manchester 码, Manchester 编码器和解码器就完成码变换的功能, 编码规则为1→+ 1-1; 0→-1+ 1[ 2] . (6)定时脉冲和同步提取

用来抽样的位定时脉冲依靠同步提取电路从接收信号提取,位定时的准确与否直接影响判决效果。

二、升余弦滚降基带传输系统 1)升余弦滚降基带传输系统介绍

理想低通特性的基带系统具有很大的频带利用率。但实际上理想低通系统在应用中存在两个问题:一是实现极其困难,二是理想冲击响应h (t )的”拖尾”很长,衰减很慢,当定时存在偏差时,可能出现严重的码间串扰。实际使用中采用余弦频谱特性的系统,其系统传输特性如下:

???

??????

?

??

????? ??+= 0-2s i n

12T T )H(S S ωπαωS S

T T ()()()()s

1||1||-1-1||0T T T T S

S S π

ωπ

ωπ

π

ωαααα+≥

+≤≤

α=0时,是前面所描述的理想低通系统。α=1时,就是升余弦频谱特性,H (ω)可以表示为

???

?????

??+=02cos 12)(H s s T T ωω

s

s

T T πωπω2||2|| ≤

其中α称为滚降系数。用来描述滚降程度。s T 为系统的输入数据的符号间隔。 2)眼图的介绍

眼图是指通过用示波器观察接收端的基带信号波形,从而估计和调整系统性能的一种方法。观察眼图的方法是:用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形像人的眼睛,故称 为 “眼图”。从“眼图”上可以观察出码间串扰和噪声的影响,从而估计系统优劣程度。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。眼图的“眼睛” 张开的大小反映着码间串扰的强弱。“眼睛”张的越大,且眼图越端正,表示码间串扰越小;反之表示码间串扰越大。当存在噪声时,噪声将叠加在信号上,观察到的眼图的线迹会变得模糊不清。若同时存在码间串扰,“眼睛”将张开得更小。与无

码间串扰时的眼图相比,原来清晰端正的细线迹,变成了比较模糊的带状线,而且不很端正。噪声越大,线迹越宽,越模糊;码间串扰越大,眼图越不端正。眼图对于展示数字信号传输系统的性能提供了很多有用的信息:可以从中看出码间串扰的大小和噪声的强弱,有助于直观地了解码间串扰和噪声的影响,评价一个基带系统的性能优劣;可以指示接收滤波器的调整,以减小码间串扰。

(1)最佳抽样时刻应在“眼睛”张开最大的时刻。

(2)对定时误差的灵敏度可由眼图斜边的斜率决定。斜率越大,对定时误差就越灵敏。(3)在抽样时刻上,眼图上下两分支阴影区的垂直高度,表示最大信号畸变。

(4)眼图中央的横轴位置应对应判决门限电平。

(5)在抽样时刻上,上下两分支离门限最近的一根线迹至门限的距离表示各相应电平的噪声容限,噪声瞬时值超过它就可能发生错误判决。

(6)对于利用信号过零点取平均来得到定时信息的接收系统,眼图倾斜分支与横轴相交的区域的大小,表示零点位置的变动范围,这个变动范围的大小对提取定时信息有重要的影响。

图3 眼图

第二部分直接用MATLAB编程仿真

一、升余弦滚降系统仿真

1)余弦滚降系统仿真源程序

% 数字基带信号传输码间串扰升余弦滚降系统的频谱及其时域波形

% 文件名 szjd.m a=0,0.5,2

Ts=1;

N=17;

dt=Ts/N;

df=1.0/(20.0*Ts);

t=-10*Ts:dt:10*Ts;

f=-2/Ts:df:2/Ts;

a=[0,0.5,2];

for n=1:length(a)

for k=1:length(f)

if abs(f(k))>0.5*(1+a(n))/Ts

Xf(n,k)=0;

elseif abs(f(k))<0.5*(1-a(n))/Ts

Xf(n,k)=Ts;

else

Xf(n,k)=0.5*Ts*(1+cos(pi*Ts/(a(n)+eps)*(abs(f(k))-0.5*(1-a(n))/Ts)));

end;

end;

xt(n,:)=sinc(t/Ts).*(cos(a(n)*pi*t/Ts))./(1-4*a(n)^2*t.^2/Ts^2+eps);

end

subplot(211);

plot(f,Xf);

axis([-1 1 0 1.2]);

xlabel('f/Ts');

ylabel('升余弦滚降系统的频谱');

legend('α=0','α=0.5','α=2');

subplot(212);

plot(t,xt);

axis([-10 10 -0.5 1.1]);

xlabel('t');

ylabel('升余弦滚降系统的时域波形'); legend('α=0','α=0.5','α=2'); 2)余弦滚降系统仿真图形

-10

-8-6-4-2

0246810

-0.500.51t

升余弦滚降系统的时域波形

-1

-0.8

-0.6

-0.4

-0.2

00.2

0.4

0.6

0.8

100.20.40.60.81f/Ts

升余弦滚降系统的

频谱

3)仿真结果分析

在上述运行结果中我们可以看出,频域波形在滚降段中心频率处呈奇对称特性,满足奈 奎斯特第一准则。图可证明,滚降系数越大,超出奈奎斯特带宽的扩展量越大,要求带宽增大。

时域波形中,滚降系数越大,波形的拖尾衰减越快,对位定时精度要求越低。

第三部分 利用Simulink 工具箱进行仿真

一、升余弦滚降系统眼图仿真 1) 升余弦滚降系统眼图源程序 % 数字基带信号波形及其眼图 % 文件名:eyee.m

x=randint(3000,1,2); %产生3000行一列的二进制随机数x

y=[[0];rcosflt(x,1,10)];%x通过一个升余弦滤波器得到y

Fd=1/2;Fs=10;offset=0; %设定采样频率、偏置等参数

figure(1)

t=1:30061;

plot(t,y);axis([1,300,-0.5,1.5]);%绘出y的时域图形

grid

figure(2)

eyescat(y,Fd,Fs,offset)

t1=t';

D=[t1 y];

%把y与时间变量t1组成文字变量D,在工作空间workspace的数据(30061行2列)sim('eyeE') %启动仿真系统

-0.5

0.5

1

1.5

2)升余弦滚降系统眼图仿真图

图4 y 的时域图形

0.2

0.4

0.6

0.81 1.2 1.4

1.6

1.8

2

time (second)

a m p l i t u d e

Eye-Pattern Diagram

图5 眼图

3)升余弦滚降系统眼图的仿真结果分析

如图,波形幅度没有衰减,无码间串扰。可通过抽样判决后还原接收信号。若干段数字基带波形叠加后形成眼图形状。眼图’眼睛’张开越大,眼图越端正,表示码间串扰越小。上图为理想状态下的眼图,不存在码间串扰。

4)加性高斯白噪声信道仿真

第四部分设计过程和体会

一、设计过程

本次课程设计主要是利用MATLAB软件来进行数字基带通信系统的仿真。在整个课程设计过程中,存在着以下几个问题。

(1)刚开始对系统的整体构成不是很熟悉,思维比较模糊,后来和其他小组同学进行了交流,明白了整个系统的构成。知道了程序设计的步骤和流程。

(2)由于是对于Matlab软件使用不熟练,所以没有过多采用老师建议的simulink仿真,这个在下次课程设计中我们会继续研究和努力。

(3)鉴于我们是一个大程序的书写,在运行中总会存在问题,是结果运行不出来。我们刚开始是采用分部运行,逐次更改错误,后来经过同学们共同的努力,我们学会了使用断点来查找错误和单步运行程序,这对我们以后的课程设计有很大的帮助。

(4)我们对整个课程设计的结果在仿真前没有大体的概念,导致我们面对仿真结果也难以断正误。由于知识掌握的不牢固,使我们在编程过程中存在了理解的偏差。今后我们要扎实基础,对于系统的过程了如指掌。遇到不会的,先查书自己解决,实在不行,再互相讨论。

二、心得体会

知识是靠平时的积累的,能力是在实践过程中培养的。在设计的过程中发现自己有很多不足的地方,让我明白自己的动手能力有待提高,思维方式需要转变,相关的知识面药拓宽。在设计过程中还发现自己对以前所学过的知识理解得不深刻,掌握得不牢固。要做好一个课

程设计并非是件很简单的事,它需要平时的知识积累和经验积累。所以今后一定要注意在平时多思考相关问题,多接触这种设计性的题目,以增强自己的动手能力,思考能力。这样做不仅可以巩固所学过的知识,而且还能学到很多在书本上所没有学到过的知识。

参考文献

[1]《通信原理》(第5版)樊昌信等编著国防工业出版社北京2001年

[2]《MA TLAB信息工程工具箱技术手册》魏巍主编国防工业出版社北京2004年

[3]《MA TLAB通信仿真开发手册》孙屹主编李妍编著国防工业出版社北京2004年

[4]《MA TLAB通信仿真及应用实例详解》邓华等编著人民邮电出版社北京2003年

[5]《现代通信系统仿真及应用》李仲令曹世文葛造坤电子科技大学出版社西安1997年

通信原理实验--数字基带传输仿真实验

数字基带传输实验 实验报告

一、实验目的 1、提高独立学习的能力; 2、培养发现问题、解决问题和分析问题的能力; 3、学习Matlab 的使用; 4、掌握基带数字传输系统的仿真方法; 5、熟悉基带传输系统的基本结构; 6、掌握带限信道的仿真以及性能分析; 7、通过观测眼图和星座图判断信号的传输质量。 二、系统框图及编程原理 1.带限信道的基带系统模型(连续域分析) ?输入符号序列―― ?发送信号―― ――比特周期,二进制码元周期 ?发送滤波器―― 或或 ?发送滤波器输出――

?信道输出信号或接收滤波器输入信号 (信道特性为1) ?接收滤波器―― 或或 ?接收滤波器的输出信号 其中 (画出眼图) ?如果位同步理想,则抽样时刻为 ?抽样点数值为(画出星座图) ?判决为 2.升余弦滚降滤波器 式中称为滚降系数,取值为, 是常数。时,带宽为Hz;时,带宽为Hz。此频率特性在内可以叠加成一条直线,故系统无码间干扰传输的最小符号间隔为s,或无码间干扰传输的最大符号速率为Baud。

相应的时域波形为 此信号满足 在理想信道中,,上述信号波形在抽样时刻上无码间干扰。 如果传输码元速率满足,则通过此基带系统后无码间干扰。 3.最佳基带系统 将发送滤波器和接收滤波器联合设计为无码间干扰的基带系统,而且具有最佳的抗加性高斯白噪声的性能。 要求接收滤波器的频率特性与发送信号频谱共轭匹配。由于最佳基带系统的总特性是确定的,故最佳基带系统的设计归结为发送滤波器和接收滤波器特性的选择。 设信道特性理想,则有

(延时为0) 有 可选择滤波器长度使其具有线性相位。 如果基带系统为升余弦特性,则发送和接收滤波器为平方根升余弦特性。 由模拟滤波器设计数字滤波器的时域冲激响应 升余弦滤波器(或平方根升余弦滤波器)的带宽为,故其时域抽样速率至少为,取,其中为时域抽样间隔,归一化为1。 抽样后,系统的频率特性是以为周期的,折叠频率为。故在一个周期内 以间隔抽样,N为抽样个数。频率抽样为,。 相应的离散系统的冲激响应为 将上述信号移位,可得因果系统的冲激响应。 5.基带传输系统(离散域分析) ?输入符号序列―― ?发送信号―― ――比特周期,二进制码元周期 ?发送滤波器――

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字通信课程设计

吉林工程技术师范学院 信息工程学院 《数字通信系统》 课程设计报告 题目:基于MATLAB数字基带调制 专业:电子信息工程 班级:电子信息1041班 姓名:唐欢 学号: 25 号 指导教师:范珩王冬梅 时间: 2013/11/25----2013/12/13

目录 第一章绪论 (1) 1.1通信的发展史简介 (1) 1.2设计的目的及意义 (2) 第二章数字基带信号 (3) 2.1数字基带调制原理 (3) 2.2单极性不归零波形 (4) 2.3双极性不归零波形 (4) 2.4单极性归零波形 (5) 2.5双极性归零波形 (6) 第三章载波调制的数字传输 (7) 3.1载波调制的原理 (7) 3.2 二进制2ASK的调制与解调仿真 (8) 3.3二进制2FSK的调制与解调仿真 (15) 3.4二进制2PSK的调制与解调仿真 (20) 第四章总结 (25) 参考文献.............................................. I 附录:................................................ I

第一章绪论 1.1通信的发展史简介 随着数字通信技术和计算机技术的快速发展以及通信网与计算机网络的相互融合,信息科学技术已成为21世纪和世界的新的强大推动力。信息是一种资源,只有通过广泛的传播与交流,才能产生利用价值,而欣喜的传播与交流,是依靠各种通信方式与技术来实现的。学习和掌握现代通信原理与技术是信息社会每一位成员,尤其是未来通信工作者的迫切需求。 通信就是从一地向另一地传递消息。通信的目的是传递消息中所包含的信息。人们可以用语言、文字、数据、图片或活动图像等不同形式的消息来表达信息。信息是消息的内涵,即消息中所包含的人们原来不知而待知的内容于传输含有信息的消息,否则,就失去了通信的意义。实现通信的方式很多,如手势、语言、旌旗、消息树、烽火台、金鼓和译码传令,以及现代社会的电报、电话、广播、电视、遥控、遥测、因特网、数据和计算机通信等,这些都是消息传递方式和信息交流的手段。随着社会的进步和科学技术的发展,目前使用最广泛的通信方式是电通信。由于电通信迅速、准确、可靠且不受时间、地点、距离的限制,自然科学领域凡是涉及“通信”这一术语时,一般均值“电通信”。 通信系统就是传递信息所需要的一切技术设备和传输媒质的总和,包括信息源、发送设备、信道、接收设备和信宿(受信者) ,它的一般模型如图1-1所示。

通信原理 数字基带传输实验报告

基带传输系统实验报告 一、 实验目的 1、 提高独立学习的能力; 2、 培养发现问题、解决问题和分析问题的能力; 3、 学习matlab 的使用; 4、 掌握基带数字传输系统的仿真方法; 5、 熟悉基带传输系统的基本结构; 6、 掌握带限信道的仿真以及性能分析; 7、 通过观察眼图和星座图判断信号的传输质量。 二、 实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带脉冲输入 噪声 基带传输系统模型如下: 信道信号 形成器 信道 接收 滤波器 抽样 判决器 同步 提取 基带脉冲

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率错误!未找到引用源。o为4 /Ts,滚降系数分别取为0.1、0.5、1, (1)如果采用非匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (2)如果采用匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (1)非匹配滤波器 窗函数法: 子函数程序: function[Hf,hn,Hw,w]=umfw(N,Ts,a)

数字系统设计与verilog HDL课程设计

数字系统设计与verilog HDL课程设计 设计题目:实用多功能数字钟 专业:电子信息科学与技术 班级:0313410 学号:031341025 姓名:杨存智 指导老师:黄双林

摘要 本课程设计利用QuartusII软件Verilog VHDL语言的基本运用设计一个多功能数字钟,经分析采用模块化设计方法,分别是顶层模块、alarm、alarm_time、counter_time、clk50mto1、led、switch、bitel、adder、sound_ddd、sound_ddd_du模块,再进行试验设计和软件仿真调试,分别实现时分秒计时、闹钟闹铃、时分秒手动校时、时分秒清零,时间保持和整点报时等多种基本功能。 单个模块调试达到预期目标,再将整体模块进行试验设计和软件仿真调试,已完全达到分块模式设计功能,并达到设计目标要求。 关键字:多功能数字钟、Verilog、模块、调试、仿真、功能

目录 1.课程设计的目的及任务............................................................. 错误!未定义书签。 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (4) 2.课程设计思路及其原理 (4) 3.QuartusII软件的应用 (5) 3.1工程建立及存盘 (5) 3.2工程项目的编译 (5) 3.3时序仿真 (6) 4.分模块设计、调试、仿真与结果分析 (7) 4.1 clk50mto1时钟分频模块 (7) 4.2 adder加法器模块 (7) 4.3 hexcounter16 进制计数器模块 (7) 4.4 counter_time 计时模块 (8) 4.5 alarm闹铃模块 (8) 4.6 sound_ddd嘀嘀嘀闹铃声模块 (9) 4.7 sound_ddd_du嘀嘀嘀—嘟声音模块 (9) 4.8 alarm_time闹钟时间设定模块 (10) 4.9 bitsel将输出解码成时分秒选择模块 (10) 4.10 switch去抖模块 (11) 4.11 led译码显示模块 (11) 4.12 clock顶层模块 (12) 5.实验总结 (13) 5.1调试中遇到的问题及解决的方法 (13) 5.2实验中积累的经验 (14) 5.3心得体会 (14) 6.参考文献 (14) 1.1 课程设计的目的 通过课程设计的锻炼,要求学生掌握V erilog HDL语言的一般设计方法,掌握VerilogHDL语言的基本运用,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,基于实践、源于实践,实践出真知,实践检验真理,培养学生的

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

通原实验数字基带系统

成绩 西安邮电大学 《通信原理》软件仿真实验报告 实验名称:数字基带系统 院系:通信与信息工程学院 专业班级:通工1005班 学生姓名:郑灏 学号:03101150 (班内序号)04 指导教师:张明远 报告日期:2012年9月8日

●实验目的: 1、熟悉仿真环境; 2、掌握数字基带信号的常用波形与功率谱密度; 3*、掌握奈奎斯特第一准则与码间干扰的消除; 4*、掌握眼图及其性能参数。 ●仿真设计电路及系统参数设置: 1、模拟图一 时间参数:No. of Samples = 4096;Sample Rate = 2000Hz Rate = 100Hz; 双极性码Amp = 10V;单极性码Amp = 10V,Offset = 10V; 功率谱密度选择(dBm/Hz 1 ohm); 用于采样的矩形脉冲序列幅度1V,频率100Hz;脉宽0.005s(占空比50%); 2、模拟图二 图符0为Rate = 100Hz,Amp = 10V的双极性不归零码 通带增益0dB,阻带增益-40dB;

归一化最低截止频率10Hz/2000Hz = 0.005; 归一化最高截止频率190Hz/2000Hz = 0.095; 分别记录信源与信宿的眼图,时间参数如下: Start = 0.02s,Length = 0.05s; 仿真波形及实验分析: 1、记录单、双极性不归零码的波形与功率谱密度 (1)单极性不归零码的波形:矩形波不归零,幅度10V,频率100Hz,Offset=10V (2)单极性不归零码的功率谱密度:第一零点带宽100H z,可看到明显的直流分量和谐波分量

通信原理第四章(数字基带传输系统)习题及其答案

第四章(数字基带传输系统)习题及其答案 【题4-1】设二进制符号序列为110010001110,试以矩形脉冲为例,分别画出相应的单极性码型,双极性码波形,单极性归零码波形,双极性归零码波形,二进制差分码波形。 【答案4-1】 【题4-2】设随机二机制序列中的0和1分别由()g t 和()g t -组成,其出现概率分别为p 和(1)p -: 1)求其功率谱密度及功率; 2)若()g t 为图(a )所示的波形,s T 为码元宽度,问该序列存在离散分量 1 s f T =否? 3)若()g t 改为图(b )所示的波形,问该序列存在离散分量 1 s f T =否?

【答案4-2】 1)随机二进制序列的双边功率谱密度为 2 2 1212()(1)()()[()(1)()]() s s s s s s m P f P P G f G f f PG mf P G mf f mf ωδ∞ -∞=--++--∑ 由于 12()()()g t g t g t =-= 可得: 2 2 22 ()4(1)()(12) ()() s s s s s m P f P P G f f P G mf f mf ωδ∞ =-∞ =-+--∑ 式中:()G f 是()g t 的频谱函数。在功率谱密度()s P ω中,第一部分是其连续谱成分,第二部分是其离散谱成分。 随机二进制序列的功率为 2 2 2 2 2 2 22 1()2 [4(1)()(12)()()] 4(1)()(12)() () 4(1)()(12)() s s s s s m s s s s m s s s m S P d f P P G f f P G mf f mf df f P P G f df f P G mf f mf df f P P G f df f P G mf ωω π δδ∞ ∞ ∞ ∞∞ =-∞ ∞ ∞ ∞ ∞∞ =-∞∞ ∞ ∞ =-∞ = =-+ --=-+ --=-+-? ∑ ?∑ ?? ∑ ?----- 2)当基带脉冲波形()g t 为 1 (){2 0 else s T t g t t ≤= ()g t 的付式变换()G f 为

VHDL与数字系统课程设计

课程设计报告 实践课题:VHDL与数字系统课程设计 学生:XXX 指导老师:XXX、XXX 系别:电子信息与电气工程系 专业:电子科学与技术 班级:XXX 学号:XXX

一、设计任务 用VHDL设计一个简单的处理器,并完成相关的仿真测试。 .设计要求: 图1是一个处理器的原理图,它包含了一定数量的寄存器、一个复用器、一个加法/减法器(Addsub),一个计数器和一个控制单元。 图1 简单处理器的电路图 数据传输实现过程:16位数据从DIN输入到系统中,可以通过复用器分配给R0~R7和A,复用器也允许数据从一个寄存器传通过Bus送到另外一个寄存器。 加法和减法的实现过程:复用器先将一个数据通过总线放到寄存器A中,然后将另一个数据放到总线上,加法/减法器对这两个数据进行运算,运算结果存入寄存器G中,G中的数据又可根据要求通过复用器转存到其他寄存器中。 1)Rx ←[Ry] :将寄存器Ry中的内容复制到Rx; 2)Mvi Rx,#D :将立即数存入寄存器Rx中去。 所有指令都按9位编码(取自DIN的高9位)存储在指令存储器IR中,编编码规则为IIIXXXYYY,III表示指令,XXX表示Rx寄存器,YYY表示Ry寄存器。立即数#D是在mvi指令存储到IR中之后,通过16位DIN输入

的。 有一些指令,如加法指令和减法指令,需要在总线上多次传输数据,因此需要多个时钟周期才能完成。控制单元使用了一个两位计数器来区分这些指令执行的每一个阶段。当Run信号置位时,处理器开始执行DIN输 时间 指令 T0T1T2T3 (mv):I0 (mvi):I1 (add):I2 (sub):I3 IR in IR in IR in IR in RY out,RX in,Done DIN out,RX in,Done RX out,A in RX out,A in ---- ---- RY out,G in,Addsub RY out,G in,Addsub ---- ---- G out,RX in,Done G out,RX in,Done 二、实现功能说明 2.1 mv Rx,Ry 实现的功能:将寄存器Rx的值赋给寄存器Ry(以mv R0, R5为例) (1 )计数器为“00”时,指令寄存器的置位控制信号输入端IRin=1有效,将DIN输入的数据的高9位锁存。 置位的控制信号如图3加粗黑线所示。 图3 (2)计数器为“01”时,首先控制单元根据设计器为“00”时输入的指令,向复用器发出选通控制信号,复用器根据该控制信号让R5的值输出到总线上,然后控制单元控制寄存器R0将总线上的值锁存,完成整个寄存器对寄存器的赋值过程。置位的控制信号和数据流如图4加粗黑线所示。 图4

通信原理------数字基带传输实验报告

基带传输系统实验报告 一、实验目的 1、提高独立学习的能力; 2、培养发现问题、解决问题和分析问题的能力; 3、学习matlab的使用; 4、掌握基带数字传输系统的仿真方法; 5、熟悉基带传输系统的基本结构; 6、掌握带限信道的仿真以及性能分析; 7、通过观察眼图和星座图判断信号的传输质量。 二、实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带传输系统模型如下:

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率Fo为 4 /Ts,滚降系数分别取为、、1,

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

数字通信课程设计

目录 一、课程设计目的 (1) 二、设计任务书 (1) 三、进度安排 (1) 四、具体要求 (2) 五、课程设计内容 (2) 5.1数字频带传输系统 (2) 5.2二进制振幅键控(2ASK) (3) 5.2.1调制实验原理框图: (3) 5.2.2 调制实验步骤 (4) 5.2.3 解调的原理框图 (7) 5.3二进制频移键控(2FSK) (8) 5.3.1 2FSK调制原理 (8) 5.3.2 调制实验步骤 (8) 5.3.3 2FSK解调的原理框图: (12) 5.4二进制移相键控(2PSK) (12) 5.4.1 2PSK调制原理 (12) 5.4.2 2PSK调制的实验步骤 (13) 5.4.3 2PSK解调的原理框图 (16) 5.5二进制差分相位键控(2DPSK) (17) 5.5.1 2DPSK调制原理 (17) 5.5.2 2DPSK调制的实验步骤 (17) 5.5.3 2DPSK的解调原理框图 (21) 5.6 二进制数字信号的功率谱密度 (21) 5.6.1.2ASK 信号的功率谱密度 (21) 5.6.2 2FSK 信号的功率谱密度 (22) 5.6.3 2PSK 及 2DPSK信号的功率谱密度 (22) 六、运行程序过程中产生的问题及采取的措施 (23) 七、总结和展望 (23) 八、参考文献 (24)

一、课程设计目的 本课程是为通信工程专业本科生开设的专业必修课,结合学生的专业方向的理论课程,充分发挥学生的主动性,使学生掌握应用MATLAB或者SYSTEMVIEW 等仿真软件建立通信系统,巩固理论课程内容,规范文档的建立,培养学生的创新能力,并能够运用其所学知识进行综合的设计。 通信系统原理的课程设计是对通信系统仿真软件、课程学习的综合检验,配合理论课的教学,让学生亲自参加设计、仿真、验证通信系统的一般原理、调制解调原理、信号传输及受噪声影响等方面的知识点。 二、设计任务书 设计选题:数字频带传输系统的设计 a.利用所学的《通信原理及应用》的基础知识,分别设计2ASK、2FSK、2PSK、2DPSK数字调制器。完成对各种二进制数字已调信号的的调制器与解调器的电路设计与程序仿真,并对其仿真结果进行分析。要求理解2ASK信号的产生,掌握2ASK 信号的调制原理和实现方法并画出实现框图。 b.利用MATLAB、SystemView、C等语言进行,软件不限。要求给出2ASK、2FSK、2PSK、2DPSK 各种已调信号的调制、解调的原理框图、仿真电路图,给出信号的频谱图、调制前与解调后数据波形比较覆盖图,加噪前后相关波形。 三、进度安排

通信原理课程设计 基于MATLAB的数字基带传输系统的研究和分析讲解

塔里木大学信息工程学院通信原理课程设计 2016届课程设计 《基于MATLAB的数字基带传输系统的研究与分 析》 课程设计说明书 学生姓名 学号 所属学院信息工程学院 专业通信工程 班级通信16-1 指导教师蒋霎

塔里木大学教务处制 摘要 本论文主要研究了数字信号的基带传输的基本概念及数字信号基带传输的传输过程和如何用MATLAB软件仿真设计数字基带传输系统。本文首先介绍了本课题的理论依据,包括数字通信,数字基带传输系统的组成及数字基带信号的传输过程。接着介绍了数字基带传输系统的特性包括数字PAM信号功率普密度及常用线路码型,并通过比较最终选择双极性不归零码。然后介绍了MATLAB仿真软件。之后介绍了数字基带信号的最佳接收的条件以及如何通过示波器观察基带信号的波形。最后按照仿真过程基本步骤用MATLAB的仿真工具实现了数字基带传输系统的仿真过程,对系统进行了分析。 关键字:数字基带传输系统MATLAB 计算机仿真;

目录 1.前言 0 2.正文 0 2.1数字基带传输系统 0 2.2 数字基带信号 (1) 2.2.1基本的基带信号波形 (1) 2.2.2基带传输的常用码型 (2) 2.3实验原理 (5) 2.3.1数字通信系统模型 (5) 2.3.2数字基带传输系统模型 (5) 3.1MATLAB软件简介 (6) 3.1.1软件介绍 (6) 3.1.2 Matlab语言的特点 (7) 4.1实验内容 (7) 4.1.1理想低通特性 (8) 4.1.2余弦滚降特性 (8) 4.1.3 Matlab设计流程图 (9) 4.1.4余弦滚降系基于matlab的程序及仿真结果 (9) 致谢 (12) 参考文献 (13) 附录 (14)

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固与加深在课程教学中所学到的 知识与实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路 的设计与实验能力,为今后从事生产与科研工作打下一定的基础。为毕业设计与今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试与维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法就是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路 图。 1.总体方案的选择 设计电路的第一步就就是选择总体方案。所谓总体方案就是根据所 提出的任务、要求与性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求与技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求与条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性与优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分 析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求与已选定的总体方案的原理框图,确定对各单元电路 的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元 电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电 路结构、降低成本。

通信系统课程设计

课程设计任务书 学生姓名:周全专业班级:信息sy0901 指导教师:刘新华工作单位:信息工程学院 题目:通信系统课群综合训练与设计 初始条件:MA TLAB 软件,电脑,通信原理知识 要求完成的主要任务: 1、利用仿真软件(如Matlab或SystemView),或硬件实验系统平台上设计 完成一个典型的通信系统 2、学生要完成整个系统各环节以及整个系统的仿真,最终在接收端或者精 确或者近似地再现输入(信源),计算失真度,并且分析原因。 时间安排: 指导教师签名: 2013 年 1 月 1 1日 系主任(或责任教师)签名: 2013 年 1 月 11 日

目录 摘要 (2) Abstract (3) 1设计任务 (4) 2实验原理分析 (5) 2.1 PCM原理介绍 (5) 2.1.1 抽样(Sampling) (5) 2.1.2 量化(quantizing) (5) 3. 基带传输HDB3码 (12) 4.信道传输码汉明码 (14) 5.PSK调制解调原理 (15) 6. AWGN(加性高斯白噪声) (18) 7.仿真结果 (19) 8.心得体会 (23) 9.参考文献 (24) 附录 (25)

摘要 通信系统是一个十分复杂的系统,在具体实现上有多种多样的方法,但总的过程却是具有共性的。对于一个模拟信号数字化传输,过程可分为数字化,信源编解码,信道编解码,调制解调,加扰等。本实验利用MATLAB实现了PCM编码,HDB3码,汉明码,psk调制,AWGN及对应的解调过程,完整实现了一个通信系统的全部过程。MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。 关键字:通信系统,调制,解调,matlab

VHDL与数字系统课程设计

< 课程设计报告% VHDL与数字系统课程设计 实践课题: 学生: XXX 指导老师: XXX、XXX 系别:电子信息与电气工程系 专业:电子科学与技术 班级: XXX 学号: XXX {

! 一、设计任务 用VHDL设计一个简单的处理器,并完成相关的仿真测试。 .设计要求: 图1是一个处理器的原理图,它包含了一定数量的寄存器、一个复用器、一个加法/减法器(Addsub),一个计数器和一个控制单元。 图1 简单处理器的电路图 数据传输实现过程:16位数据从DIN输入到系统中,可以通过复用器分配给R0~R7和A,复用器也允许数据从一个寄存器传通过Bus送到另外一个寄存器。 》 加法和减法的实现过程:复用器先将一个数据通过总线放到寄存器A中,然后将另一个数据放到总线上,

他寄存器中。 下表是该处理所支持的指令。 1)Rx ← [Ry] :将寄存器Ry中的内容复制到Rx; 2)Mvi Rx,#D :将立即数存入寄存器Rx中去。 所有指令都按9位编码(取自DIN的高9位)存储在指令存储器IR中,编编码规则为IIIXXXYYY,III表示指令,XXX表示Rx寄存器,YYY表示Ry寄存器。立即数#D是在mvi指令存储到IR中之后,通过16位DIN 输入的。 有一些指令,如加法指令和减法指令,需要在总线上多次传输数据,因此需要多个时钟周期才能完成。控制单元使用了一个两位计数器来区分这些指令执行的每一个阶段。当Run信号置位时,处理器开始执行DIN输 二、实现功能说明 mv Rx,Ry 实现的功能:将寄存器Rx的值赋给寄存器Ry(以mv R0, R5为例) (1 )计数器为“00”时,指令寄存器的置位控制信号输入端IRin=1有效,将DIN输入的数据的高9位锁存。置位的控制信号如图3加粗黑线所示。

数字通信课程设计报告

课程设计报告 课程设计名称:《数字通信》 系别: 学生姓名: 班级: 学号: 成绩: 指导教师: 开课时间:2011-2012 学年第2学期

目录 一.设计题目 (4) 二.具体要求 (4) 三.主要内容 (4) 第一节:基本原理 (4) 第二节:流程图 (13) 四.进度安排 (13) 五.成绩评定 (13) 第一节:课程设计报告要求 (14) 第二节:正文 (14) 六.心得体会 (18) 七.参考资料 (19)

一.设计题目:模拟信号数字化PCM 编码设计 二.具体要求: 1.模拟信号数字化的处理步骤:抽样、量化、编码 2.PCM 编码的压缩和扩张原理; 3.用MATLAB 或其它EDA 工具软件对PCM 编码进行使用A 律和μ律的压缩和扩张进行软件仿真; 4.对仿真进行分析比较。 5.PCM 的8位编码C 1C 2C 3C 4C 5C 6C 7C 8 三.主要内容 第一节:基本原理 下图是模拟信号数字传输的过程原理图: 1. 抽样 (1)定义: 所谓抽样,就是对模拟信号进行周期性扫描,把时间上连续的信号变成时间上离散的信号。该模拟信号经过抽样后还应当包含原信号中所有的信息,也就是说能无失真的恢复原模拟信号。它的抽样速率的下限是由抽样定理确定的。 (2)抽样定理 设一个频带限制的(0,fH )Hz 内的时间连续信号m (t )如果它不少于2fH 次每秒的速率进行抽样,则m(t)可以由抽样值完全确定。 抽样定理指出,由样值序列无失真恢复原信号的条件是f S≥2 f h ,为了满足抽样定理,要求模拟信号的频谱限制在0~f h 之内(fh 为模拟信号的最高频率)。为此,在抽样之前,先设置一个前置低通滤波器,将模拟信号的带宽限制在fh 以下,如果前置低通滤波器特性不良或者抽样频率过低都会产生折叠噪声。抽样频率小于2倍频谱最高频率时,信号的频谱有混叠。抽样频率大于2倍频谱最高频率时,信号的频谱无混叠。 另外要注意的是,采样间隔的 周期要足够的小,采样率要做够的大,要不 ) (s t f D /A ) (n f ) (n g A /D ) (t g )(t p ) (t f 量化编码 数字 滤波器

通信原理实验一 数字基带传输

通信原理实验一 数字基带传输 一、实验目的 1、提高独立学习的能力; 2、培养发现问题、解决问题和分析问题的能力; 3、学习Matlab 的使用; 4、掌握基带数字传输系统的仿真方法; 5、熟悉基带传输系统的基本结构; 6、掌握带限信道的仿真以及性能分析; 7、通过观测眼图和星座图判断信号的传输质量。 二、实验原理 1.匹配滤波器和非匹配滤波器: 升余弦滚降滤波器频域特性:

将频域转化为时域 2. 最佳基带系统 将发送滤波器和接收滤波器联合设计为无码间干扰的基带系统,而且具有最佳的抗加性高斯白噪声的性能。 要求接收滤波器的频率特性与发送信号频谱共轭匹配。由于最佳基带系统的总特性是确定的,故最佳基带系统的设计归结为发送滤波器和接收滤波器特性的选择。 设信道特性理想,则有 (延时为0) 有 可选择滤波器长度使其具有线性相位。 如果基带系统为升余弦特性,则发送和接收滤波器为平方根升余弦特性。 3.基带传输系统(离散域分析) ?输入符号序列―― ?发送信号―― ――比特周期,二进制码元周期 ?发送滤波器―― 或 ?发送滤波器输出――

?信道输出信号或接收滤波器输入信号 (信道特性为1) ?接收滤波器―― 或 ?接收滤波器的输出信号 (画出眼图) ?如果位同步理想,则抽样时刻为 ?抽样点数值为(画出星座图) ?判决为 其中若为最佳基带传输系统,则发送滤波器和接收滤波器都为根升余弦滤波器,当采用非匹配滤波器时,发送滤波器由升余弦滤波器基带特性实现,接收滤波器为直通。 三、实验内容 1.通过匹配滤波和非匹配滤波方式,得到不同的滚降系数下发送滤波器的时域波形和频率特性。 实验程序: (1)非匹配情况下: 升余弦滚降滤波器的模块函数(频域到时域的转换) function [Hf,ht]=f_unmatch(alpha,Ts,N,F0) k=[-(N-1)/2:(N-1)/2]; f=F0/N*k; for i=1:N; if (abs(f(i))<=(1-alpha)/(2*Ts)) Hf(i)=Ts; elseif(abs(f(i))<=(1+alpha)/(2*Ts)) Hf(i)=Ts/2*(1+cos(pi*Ts/alpha*(abs(f(i))-(1-alpha)/(2*Ts)))); else Hf(i)=0; end; end; 主函数 alpha=input('alpha=');%输入不同的滚降系数值 N=31;%序列长度 Ts=4; F0=1;%抽样频率

数字通信理论课程设计

数字通信理论课程设计 实验目的: 1、加深对AWGN 信道下数字通信系统的理解。 2、掌握数字通信系统蒙特卡洛仿真的基本方法。 实验内容: 在AWGN 信道下,完成QPSK/16QAM/2FSK 系统的误比特率性能仿真,绘制系统的BER 曲线,并与理论计算的结果进行对比。具体包括如下内容: 1、编写程序生成随机的二元比特序列,该序列由{0,1}构成。 2、根据所选择的调制方式,将比特序列映射为星座图上的点。 3、将所生成的信号通过AWGN 信道进行传输,编写程序实现随机的加性高斯白噪声过程,并完成对信号的加噪。 4、 实现接收机的解调、检测与判决算法。要求使用相干接收机,最大似然检测。 5、 在不同的比特信噪比(0/b E N )的条件下统计系统的比特错误概率(BER ), 画出BER 随0/b E N 变化的曲线。0/b E N 的变化范围选为0~10dB 。 6、 在同一幅图中画出理论曲线,并将两者进行对比。 注意: 1)采用信号的等效复基带形式完成仿真。 2)为了使BER 的统计结果具有充分的置信度,需要足够多的仿真次数。具体如何设置请查阅有关蒙特卡洛仿真的资料。 实验要求: 1、利用计算机仿真完成上述实验。可以使用Matlab 、C 、C++或任何一种编程语

言,但不允许使用已有的通信系统仿真模块,例如SIMULINK中已有的模块。 2、完成实验之前首先复习相关的理论知识,并对数字通信系统的仿真方法进行 必要的学习。对于后者可参阅如下书籍: W. H. Tranter, K. S. Shanmugan, T. S. Rappaport, and K. L. Kosbar, “Principles of Communication Systems Simulation with Wireless Applications”, Pearson Education Inc., 2004. (也可参考其中文翻译版) 3、撰写实验报告,要求画出系统框图,说明仿真流程,给出仿真结果,提供理 论的误码率结果及推导过程,进行必要的分析和讨论,并在附录中提供程序源代码,列出参考文献。纸质版提交至西一楼446室,同时将电子版发送至lisun@https://www.doczj.com/doc/d69433587.html,。 4、各班完成的内容具体安排为:信息91-92:QPSK;信息93-94:16QAM;信 息95-96,学硕:2FSK。

相关主题
文本预览
相关文档 最新文档