当前位置:文档之家› 复杂模型机设计

复杂模型机设计

复杂模型机设计
复杂模型机设计

复杂模型机设计实验报告

班级:计算机科学与技术1303班学号:13080303151208030320 姓名:国文李阳

日期:2016 年 01 月 10 日

------目录------

一、数据格式和指令系统-------------------------------------------1

1.数据格式--------------------------------------------------------1

2.指令格式--------------------------------------------------------2

二、数据通路----------------------------------------------------------3

三、时序系统----------------------------------------------------------4

1.时序波形图-----------------------------------------------------4

2.节拍发生器-----------------------------------------------------5

3.起停控制逻辑--------------------------------------------------5

四、微程序流程图----------------------------------------------------6

五、地址转移逻辑----------------------------------------------------7

1.微地址分配表--------------------------------------------------7

2.逻辑表达式-----------------------------------------------------7

3.原理图-----------------------------------------------------------8

4.电路图-----------------------------------------------------------8

六、微指令格式-------------------------------------------------------9

七、微程序代码表---------------------------------------------------10

八、微程序控制器---------------------------------------------------12

九、测试程序---------------------------------------------------------12

一、数据格式和指令系统

1.数据格式:

微型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下:

7 6 5 4 3 2 1 0

符号尾数

其中第七位为符号位,数值范围是:1≤ X ≤1

2.指令格式:

模型机设计有3类指令,其中包括:

运算类指令(单字节,RR型)

算术运算(ADD,INC,SUB)

逻辑运算(AND,OR)

移位运算(RR)

控制转移类指令

HTL (单字节)

JMP(双字节)

BZC(双字节)

数据传送类指令

输入/输出(IN,OUT)

数据传送(MOV,LDI,LAD,STA)

单字节(MOV)

双字节(LDI,LAD,STA)

单字节指令格式(ADD,AND,INC,SUB,OR,RR,HLT,MOV)

7 6 5 4 3 2 1 0

OP-CODE Rs Rd

其中,OP-CODE为操作码,rs为寄存器,rd为目的寄存器,并规定:

RS或RD 选定的寄存器

00 R0

01 R1

10 R2

11 R3

双字节指令格式

1).IN/OUT指令格式

7 6 5 4 3 2 1 0

OP-CODE Rs Rd

P

2)访内指令及转移指令(LAD,STA,JMP,BZC)

7 6 5 4 3 2 1 0 1 1 M OP-CODE Rd

D

寻址方式有效地址说明

00

01

10

11 E=D

E=(D)

E=(R2)+D

E=(PC)+D

直接寻址

间接寻址

Ri变址寻址

相对寻址

3)访内指令 (LDI)

7 6 5 4 3 2 1 0

OP-CODE Rs Rd

Data

2.指令系统

汇编符号指令格式功能说明

1 MOV RD,RS 0100 RS RD RS→RD

2 ADD RD,RS 1100 RS RD RD+RS→RD

3 SUB RD,RS 1000 RS RD RD-RS→RD

4 XOR RD,RS 1101 RS RD RS

RD →RD

5 ADC RD,RS 1001 RS RD RD∨RS→RD

6 RR RD,RS 1010 RS RD RS右环移→RD

7 INC RD 0111 -- RD RD+1→RD

8 NOT RD,RS 1011 RS RD RD→RD

9 LAD M D,RD 0000 M RD,D E→RD

10 STA M D,RD 0001 M RD,D RD→E

11 JMP M D 0010 M **,D E→PC

12 BZC M D 0011 M **,D 当FC=1或FZ=1时,E→PC

13 IN RD,P 1110 01 RD [P]→RD

14 OUT P,RS 1111 10 RD RS→[P]

15 LDI RD,D 0110 00 00 D→RD

16 HALT 0101 ** ** 停机

二、数据通路

三、时序系统

时序波形图

节拍发生器

起停控制逻辑

四、微程序流程图

五、地址转移逻辑

微地址分配表

微地址00 0 01 1 10 2 11 3 0000 0 √√√0001 1 √√√0010 2 √√√0011 3 √√0100 4 √√√0101 5 √√√√0110 6 √√√0111 7 √√1000 8 √√√√1001 9 √√√1010 A √√√1011 B √√√√1100 C √√√√1101 D √√√√1110 E √√√√1111 F √√√√

逻辑表达式

UA0 = P1*IR4*T4+P2*IR2*T4

UA1 = P1*IR5*T4+P2*IR3*T4

UA2 = P1*IR6*T4

UA3 = P1*IR7*T4

UA4 = P3*(CF+ZF)*T4

原理图

电路图

下图(UAO,UA1,UA2,UA3,UA4)对应微程序流程图中对应引脚。

六、微指令格式

23 22 21 20 19 18-15 14-12 11-9 8-6 5-0 M23 CN

WR

RD

IOM

S3-S0

A 字段

B 字段

C 字段

UA5-UA0

A 字段

B 字段

C 字段

8 7 6 选择 0 0 0 NOP

0 0 1 P<1> 0 1 0 P<2> 0 1 1 P<3> 1 0 0 保留 1 0 1 LDPC 1

1

保留

11 10 9 选择 0 0 0 NOP 0 0 1 ALU-B 0 1 0 RS-B 0 1 1 RD-B 1 0 0 RI-B 1 0 1 保留 1 1 0 PC-B 1

1

1

保留

14 13 12 选择 0 0 0 NOP 0 0 1 LDA 0 1 0 LDB 0 1 1 LDRi 1 0 0 保留 1 0 1 LOAD 1 1 0 LDAR 1

1

1

LDIR

七、微程序代码表

八、微程序控制器

原理图

电路图见附录九、测试程序

$P 00 20;IN R0,00H

$P 01 00

$P 02 41;MOV R1,R0

$P 03 63;LDI R3,0FH

$P 04 0F

$P 05 1D;AND R1,R3

$P 06 71;DEC R1

$P 07 06;L2:ADD R2,R1

$P 08 63;LDI R3,01H

$P 09 01

$P 0A 8D;SUB R1,R3

$P 0B F0;JZ L1

$P 0C 0F

$P 0D E4;JMP 80H

$P 0E 80

$P 0F D2;L1:STA 70H,R2

$P 10 70

$P 11 62;LDI R2,70H

$P 12 70

$P 13 C9;LAD R1,[RI],00H

$P 14 00

$P 15 60;LDI R0,F0H

$P 16 F0

$P 17 91;OR R1,R0

$P 18 B1;NOT R1 $P 19 34;OUT 40H,R1 $P 1A 40

$P 1B 50;HLT

$P 80 07

$M 00 000001

$M 01 006D43

$M 03 107070

$M 04 002405

$M 05 04B201

$M 06 002407

$M 07 013201

$M 08 106009

$M 09 183001

$M 0A 106010

$M 0B 000001

$M 0C 103001

$M 0D 200601

$M 0E 005341

$M 0F 0000CB

$M 10 280401

$M 11 103001

$M 12 063201

$M 13 002414

$M 14 05B201

$M 15 002416

$M 16 01B201

$M 17 002418

$M 18 02B201

$M 19 023201

$M 1B 005341

$M 1C 10101D

$M 1D 10608C

$M 1E 10601F

$M 1F 101020

$M 20 10608C

$M 28 101029

$M 29 00282A

$M 2A 04E22B

$M 2B 04928C

$M 2C 10102D

$M 2D 002C2E

$M 2E 04E22F

$M 2F 04928C $M 30 001604 $M 31 001606 $M 32 006D48 $M 33 006D4A $M 34 003401 $M 35 000035 $M 36 006D51 $M 37 001612 $M 38 001613 $M 39 001615 $M 3A 001617 $M 3B 001619 $M 3C 006D5C $M 3D 006D5E $M 3E 006D68 $M 3F 006D6C

计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计

计算机硬件综合课程设计报告

简单模型机设计 一、设计要求 硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。 软件:CMP软件 二、设计目的 1.通过对一个简单计算机的设计,对计算机的基 本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 2.通过这次课程设计,建立整机的概念,对程序 进行编辑,校验,锻炼理论联系实际的能力。 3.通过本次课程设计熟悉和训练设计思路与实 现方法。 4.通过本次课程设计锻炼团队合作的能力和团 队问题的解决。

三、设计电路及连线 设计电路及连线实验图如下图1-1所示。 图1-1 简单模型机连线图 四、设计说明 本次课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器

来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本次课程设计采用五条机器指令:IN (输入)、ADD (二进制加法)、STA (存数)、OUT (输出)、JMP (无条件转移),其指令格式如下(前4位为操作码): 助记符 机器指令码 说 明 微程序入口地址 IN 0000 0000 “INPUT DEVICE ”中 10 的开关状态→R0 0001 0000 ×××× ×××× R0+[addr]→R0 11 0010 0000 ×××× ×××× R0→[addr] 12 0011 0000 ×××× ×××× [addr]→BUS 13 0100 0000 ×××× ×××× addr →PC 14 ADD addr STA

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.doczj.com/doc/d216148733.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

模型计算机系统的设计与实现

题目:模型计算机系统的设计与实现学生姓名: 学院: 班级: 指导教师: 2010年1 月8 日

内蒙古工业大学课程设计(论文)任务书 课程名称:计算机组成与结构课程设计学院:信息工程学院班级:计07-_3班__ 学生姓名:武宝全 _ 学号: 200710210023 指导教师:董志学王晓荣邢红梅

摘要 本次课程设计要求设计实现一个简单8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。通过自己定义的一套指令系统,主要实现算术A加B,A+/B运算,逻辑A·B,置B运算,输入指令,输出指令和存储器存数指令。由微程序控制器按照微指令格式给出下地址,并将结果存入存储器。用Protel电路设计软件画出所设计的模型机系统的电路原理图,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路。用可编程器件EPM7123实现运算器,并借助MAXPLUSII软件实现其功能。在QDKJ-CMH-CPLD试验平台上调试并进行验证。 关键字:微程序、控制器、存储器、

引言 通过俩周的组成与结构设计,设计一个8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。设计工作是在之前的验证实验基础之上完成的,通过自己的思维,实现微程序机的一些基本的逻辑运算。根据现有的二进制指令系统,条件为模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯。在现有的芯片内烧制自行设计的微指令,达到在输入一个数据后自加,减一,实现自行跳转。 在设计完成后,再输入数据04后得出07的结果,并实现跳转。

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

复杂模型机方案说明书

******************* 实践教案 ******************* 计算机与通信学院 2018年春季学期 计算机组成原理课程设计 题目:模型机设计-8 专业班级: 姓名: 学号: 指导教师: 成绩: 前言 本次课程设计主要讲授单处理机系统的组成和工作原理,包括运算器、存储器、控制器和输入输出系统,其中控制器的设计是课程的重点和难点。为了能融

会贯通各知识点,增强对计算机系统各模块协同工作的认识,充分理解数据通路,掌握控制器的设计技术,课程设计也侧重于控制器的设计。 开设这门课可以为理解、应用和开发程序提供技术和方法支持,为后续课程的学习提供重要思想和方法基础,同时对于自己逻辑思维培养和程序设计思想体系的建立有着重要的影响。学好《计算机组成原理》仅仅通过课堂教案或自学获取理论知识是远远不够的,还必须加强实践,亲自实践。在大学学习时,知识是通过一门门独立的课程传授的,而实际问题之能够顺利地得到解决,不但需要多方面的知识,而且还需要善于对这些知识综合地加以运用。这次课设正是给我们了一次自己动脑动手的机会。 目录 摘要1 正文2 第一章设计目的及原理2 第二章模型机的逻辑结构及框图2 第三章详细设计3 3.1 运算器的物理结构3 3.2 存储器系统的组成与说明5 3.3 指令系统的设计与指令分析5 3.4 微程序控制器的逻辑结构与功能8 3.5 微程序的设计与实现9 3.6 微程序与监控程序12 第四章系统调试报告14 设计总结16 参考文献17

致谢18

摘要 本次课程设计主要综合所学习的计算机组成原理的知识,设计一套复杂模型计算机。并设计一些简单的程序进行验证。本系统主要由以下模块组成:运算器、存储系统、微程序控制器模块、指令系统模块、微程序控制器模块等组成。应用存储系统说明输入输出时序,使用模型机的器件组成有片间串行进位8位算数逻辑运算的功能。该系统在基本模型机的基础上改进并实现输入﹑二进制加法﹑存数﹑输出以及无条件转移等指令的功能。对于微指令,可将其转化为格式化的“二进制代码表”,然后通过手动输入或是联机存入的方法,将微指令存入机器,实现相应的操作。终通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整机概念。 关键词:模块;微指令;机器指令;基本模型机;建立整机

模型计算机的设计论文讲解

目录 1模型机设计方案及步骤 (2) 1.1设计方案 (2) 2指令格式 (4) 2.1指令格式 (4) 2.2指令集 (5) 3数据通路 (6) 4指令操作流程 (6) 5微操作控制信号的逻辑表达式 (14) 6微信号简化 (18) 7微信号电路图 (18) 8模型机微控制信号连线图 (19) 9总结与体会 (20) 10附录 (21)

模型计算机的设计 1模型机设计方案及步骤 1.1设计方案 本次课程设计主要任务是设计一模型计算机并利用组合逻辑控制器或微程序进行设计,且至少实现4条CPU指令(从ADD,SUB,XOR,AND,OR中选择)。 在此我选择使用组合逻辑控制器(硬连线)方式设计,并实现ADD,SUB,AND,OR四条指令。 四条指令意义如下: ADD数学计算两个数的和 SUB数学计算两个数的差 AND逻辑运算两个数的并 OR逻辑运算两个数的或 对于以上设计的理由如下: 1.对于方式的选取我们选取硬连线实现,因为此次我们设计的模

型机较为简单,而且采用元件较少,我们采用硬连线可以使用最少的元件从而取得较高的操作速度。 2.对于字长的选取,多方面考虑后,基于资源和设计的需要可以采用八位字长。由于共有四条指令,为了满足选取所以选取其中2位作为OP操作,由于在对数的操作中不仅涉及到对数据的存储还会牵连到指令的操作,在此指令的操作有四条指令所以必须有四个储存单元,加上存储数据的一个单元,一共需要五个单元,再由于计算机存储字长值的限制,选不出5个存储单元的字长。所以至少为3位,其中给出的是8个存储单元,对于寄存器,只有一个数据需要存储,所以1位就行了,但是考虑到计算机存储的限制,所以我们可以把后3位扩展给它。所以共有8位。其中3位表示内存单元地址,用3位表示寄存器编号。加上两个必须的寄存器,其中寄存器有R0、R1、PC、IR。 3.对于内存的选取我们在实际过程中买不到这么小的内存,所以实际操作中可以将前几位置零(接地),用后几位表示即可。 最终根据以上理由我们规定它有8位的数据线D[7:0],执行4条指令,每条指令的长度为1byte,因而它有6位的地址线A[5:0] 。它可以存取的存储器为64byte(1byte=8bits) 我们为该CPU定义以下寄存器:

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

复杂模型机实验报告.

信息学院

运行 PC→AR PC+1 RAM→BUS BUS→IR P1 PC→AR PC+1 RS→BUS BUS→DR1 ALU=0→BUS BUS→RD SW→BUS BUS→RD 00(直接)CLR RD→BUS BUS→DR2 DR1+DR2→ BUS→RD 01 01 01 01 02 20 212325 52 53 31 27 RS→RD RS→299 RRC 299→RD RS→299 RLC 299→RD 01 3032 54 55 36 67 70 IN MOV RRC SUC RLC RD→LED 01 STOP 01 26 24 ADC RS→BUS BUS→DR2 RD→BUS BUS→DR1 DR1→DR1 DR1+1→ BUS→DR1 DR1→DR1 DR1+DR2→ BUS→RD 56 57 60 61 RD→BUS BUS→DR1 RS→BUS BUS→DR1 RD→BUS BUS→DR1 35 0101 INC DR1+1→ BUS→RD 01 01 01 34 62 33 RD→BUS BUS→DR2 63 DR1^DR2→ BUS→RD 65 AND 66 PC→AR PC+1 PC→AR PC+1 PC→AR PC+1 20 RAM→BUS BUS→DR1 03 RAM→BUS BUS→AR 04 RAM→BUS BUS→DR1 06 RAM→BUS BUS→AR 05 RAM→BUS BUS→AR 07 40 RAM→BUS BUS→DR1 15 22 RI→DR2 16 DR1+DR2→ BUS→AR 17 DR1+DR2→ BUS→DR1 45 RAM→BUS BUS→DR1 46 PC→BUS BUS→DR2 47 DR1+DR2→ BUS→AR 50 DR1+DR2→ BUS→DR1 51 72 P2 RAM→BUS BUS→RD 40 RD→BUS BUS→RAM 41 DR1→BUS BUS→PC 4243 P3 DR1→BUS BUS→PC 6444 010101 BZC JMP STA LAD 10(变址) 01(间接)11(相对)COM 40 4040 01 01 44 01 Y N P4 PC→AR PC+1 PC→AR PC+1 SW→BUS BUS→DR1 DR1→RAM RAM→BUS BUS→DR1 DR1→LED 01 00 11 14 74 10 12 73 13 WRITE(01)READ(00)RUN(11) SW B 10 →B U S B U S→R D 1 DR DR1→DR1 37 71 SWA 图2-8复杂模型机微程序流程图 H L T A OUT 六、实验结果: (1)取in指令送IR: (2)采集从数据开关输入的数据07H并送R0:

实验六 复杂模型机的设计与实现

实验五 复杂模型机的设计与实现 一、实验目的 综合运用所学计算机原理知识,设计并实现较为完整的计算机。 二、实验设备 Dais-CMX16+计算机组成原理教学实验系统一台,实验用导线若干。 三、数据格式及指令系统 1. 数据格式 8 其中第7位为符号位,数值表示范围是:≤<。2. 指令格式 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O 指令、访问及转移指令和停机指令。 ⑴ 算术逻辑指令 设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 其中,OP-CODE 为操作码,Rs 为源寄存器, Rd 为目的寄存器,并规定: 其中9条算术逻辑指令的名称、功能和具体格式见表5-1。 ⑵ 访问指令及转移指令 模型机设计2条访问指令,即存数(STA )、取数(LDA ),2条转移指令,即无条件转移(JMP )、 结果为零或有进 位转移指令(BZC ),指令格式为: 其中“0 0 M ”为源码段,2OP-CODE 为目的码段(LDA 、STA 指令使用)。D 为十六位地址段(低八在前,高八随后),M ⑶ I/O 指令

输入(IN)和输出(OUT ⑷停机指令 指令格式如下: HALT指令,用于实现停机操作。 3.指令系统 本模型机共有16条基本指令,其中算术逻辑指令9条,访问内存指令和程序控制指令4条,输入输出指令2条,其它指令1条。下表列出了各条指令的格式、汇编符号、指令功能。 图5-1复杂模型机微程序流程图 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码,并将二进制代码表转换成十六进制格式文件。 源编码目的编码

模型计算机设计方案的制定

一、设计任务与要求: 任务: 1.设计一个8位模型计算机系统,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路; 2.画出系统组成框图,指出各个部分的功能和实现途径; 3.针对所设计的模型机系统,每组学生自己定义一套简单的指令系统,给出助记符指令格式,分配指令的机器代码,指出指令的功能; 4.写出设计方案。 要求: 1.所设计的模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯; 2.每组定义的指令系统为4至8条指令,必须包含本组运算器特有运算功能的指令,每组必须有两条以上指令与其他组不同,经老师审核后确定; 3.每组制定一份设计方案。 二、系统组成框图及各部分的功能和实现途径: (一)、系统的总体结构框图: 图1 总体结构框图 (二)各个部分的功能和实现途径: 1、收发器74LS245 8一位 无反相输出 三态输入

2.、D型触发器74LS273 8一位 清零输入 Q0=在时钟脉冲上升沿之前QT的输出3、算数逻辑单元功能发生器74LS181 4一位 16一功能 逐位进位输出

4.、2K CMOS静态随机存贮器RAM(2048×8)6116 5、D型触发器74LS374 8一位 透明的 无反相 3一态输出 6、累加器74LS163 4一位二器异步清零输入 上计数器可计数输入 置位输入行波进位输出 异步计数

三、简单指令系统: (1)IN A,DATA。指令码10,A指R0,DATA指SW7-SW0上的数据输入到R0寄存器。是输入指令。(2)ADD A,B。指令码20 ,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相加,结果送R0,是加法指令。 (3)SUB A,B。指令码30,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相减,结果送R0,是减法指令。 (4) OPP A,(OPP)。指令码40 OPP,A指R0,OPP为贮存器地址。将R0寄存器的内容与内存中以OPP为地址单元内的数相乘,其中这个数为-1,目的是求相反数,是求相反数指令。 (5)MUL A,B。指令码50,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相乘,结果送R0,是乘法指令。 (6)STA(STA),A。指令码60 STA,A指R0,STA为存贮器地址。将R0寄存器的内容存到以STA 为地址的内存单元中。 (7)OUT BUS,(STA)。指令码70(STA),BUS为数据总线,STA为存贮器地址。将内存中以STA 为地址的数据读到总线上。 (8)JMP OPP。指令码80 。OPP指存贮器地址。程序无条件地转移到OPP(可以是存贮器中不同的地址)所指定的内存单元地址。 其中实现求相反数指令后,可以根据求出的相反数实现减法运算;将求相反数指令中的(OPP)换做寄存器R1加以修改可以实现乘法运算。本次实验的主要目的是实现两个数的相加以及实现求一个数的相反数。 (注:文件素材和资料部分来自网络,供参考。请预览后才下载,期待你的好评与关注。)

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

计算机组成—复杂模型机方案

课程设计:计算机组成原理 题目名称:复杂模型机的设计 姓名: 学号:1108020184 1108020185 班级:网络工程1101班 完成时间:2018年1月6日1设计目的:建立清晰完善的整机概念; 学习设计与调试计算机的基本方法;培养严谨的科研作风和独立工作能力。 2设计任务: 综合运用所学的计算机原理知识,按给定的指令系统分和数据格式,在所提供的设备范围内,设计一台字长八位的由微程序控制器来控制的模拟计算机。设计并实现较为完整的八位模型计算机。 设计微程序控制器的逻辑原理电路图;

设计微地址转移的逻辑电路图; 设计微程序流程图; 设计说明书。 3设计指标: 字长八位; 时钟源MF=QB=1us 内存容量不得小于2 8; 指令系统不得小于十四条。要求算术逻辑指令七条、访问内存和控制指令四条、输入输出指令两条、其他指令一条。 4设计说明: 4.1数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下: 其中,第7位为符号位,数值的表示范围是:-1乞X <1 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。 [1]算术逻辑指令 设计九条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址, 其格式如下: 其中,OP-COD为操作码,Rs为源寄存器,Rd为目的寄存器,并规定: [2]访存指令及转移指令 模型机设计两条访问指令,即存数(STA>、取数(LDA>,两条转移指令, 即无条件转移(JMP>结果为零或有进位转移指令(BZC>。其格式如下:

D 其中,OP-CODE^操作码,Rd为目的寄存器,D为位移量(正负均可>, M为寻址方式,其定义如下: 本模型机规定变址寄存器RI指定为寄存器R2 [3]I/O 指令 输入v IN)和输出<OUT)指令采用单字节指令,其格式如下: 其中,addr=01时,表示选中“输入单元”中的开关组作为输入设备, addr=10时,表示选中“输出单元”中的数码管作为输出设备。 [4]停机指令 这类指令只有1条,即停机指令HALT用于实现停机操作,指令格式如 4. 2系统指令 本模型机共有1 4条基本指令。其中,算术逻辑指令6条,移位指令2条,访问内存指令和程序控制指令3条,输入输出指令2条,其他它指令1条。表1列出了各条指令的格式、汇编符号、指令功能。

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

实验七基本模型机的设计与实现

实验七基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、STA(存数)、OUT (输出)、JMP(无条件转移),其指令格式如下(前三位为操作码): =============================================================== 助记符机器指令码说明 --------------------------------------------------------------- IN R0,SW 0010 0000 数据开关状态→R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC =============================================================== 其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位(IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码表”。 下面介绍指令寄存器(IR):指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到数据总线上,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试P(1),通过 节拍脉冲T4的控制以便识别所要求的操作。“指令寄存器”根据指令中的操作码译码 强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。 本系统有两种外部I/O设备,一种是二进制代码开关,它作为输入设备(INPUT DEVICE);另一种是LED块,它作为输出设备(OUTPUT DEVICE)。例如:输入时,二进制开关数据直接经过三态门送到外部数据总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到外部数据总线上,当LDED有效时,将数据打入输出锁存器,驱

复杂模型机

计算机组成原理实验报告 题目复杂模型机设计实验 专业计算机科学与技术 姓名张蕾 学号 1310632

目录 一、实验目的 二、实验原理 1 数据格式 2 指令格式 三、实验内容 四、实验代码设计思想 1 机器程序设计 2 微代码设计 五、实验代码 六、实验接线图 七、实验总结

一、实验目的 (1)综合运用所学计算机原理知识,设计并实现较为完整的计算机。 (2)加深对计算机各组成部件之间的相互关系以及指令系统设计方法的理解。 二、实验原理 CPU由运算器(ALU),微程序控制器(MC),通用寄存器(R0),指令寄存器(IR),程序计数器(PC)和地址寄存器(AR)组成,通过写入相应的微指令后,就具备了执行机器指令的功能。 1.数据格式 模型机规定采用定点补码表示法表示数据,字长为8位,8 位全用来表示数据(最高位不表示符号),数值表示范围是: 0≤X≤2^8-1。 2.指令设计 该复杂模型机设计包含运算类指令、控制类指令、数据传输类指令三大类指令。 (1)运算类指令仅用到了算术运算,算术运算设计有 3 条运算类指令,分别为:ADD(两寄存器值加法)、INC(寄存器值自加1)、SUB(两寄存器值减法),所有运算类指令都为单字节,寻址方式采用寄存器直接寻址。 (2)控制转移类指令有三条HLT(停机)、JMP(无条件跳转到指定的指令地址)、BZC(判断寄存器内容是否为0,为0则跳转到指定的指令地址),用以控制程序的分支和转移,其中HLT为单字节指令,JMP 和BZC 为双字节指令。 (3)数据传送类指令有IN、OUT、LDI、LAD、STA 共5 条,用以完成寄存器和寄存器、寄存器和I/O、寄存器和存储器之间的数据交换,均为双字节指令。 3.指令格式 所有单字节指令具有相同的指令格式,如下图所示: 7654 32 10 OP-CODE RS RD 其中4位OP-CODE为操作码,2位RS为源寄存器,2位RD为目的寄存器,并规定: RS或RD 选定的寄存器 00 R0 01 R1 10 R2 11 R3 IN和OUT的指令格式为: 7654 32 10 7~0 OP-CODE RS RD P IO地址空间被分为4个区如表所示: A7A6 选定地址空间 00 IOY0 00~3F 01 IOY1 40~7F

8位模型计算机的设计

石家庄经济学院 华信学院 计算机组成原理课程设计报告 题目 8位模型计算机的设计 姓名 学号 班号 4064170801 指导老师关文革尹立洁赵洋 成绩 2009年1月 目录 1. 课程设计目的 52 2. 开发工具选择 52 3. 方案选择 52 4.指令系统设计 52 5. 模型机框图设计 52 6. 指令流程图 52 7. 指令操作时间表(组合逻辑控制器)或者微指令格式(微程序控制器)设计 52 8. 微操作信号综合与优化(组合逻辑控制器)或者微程序(微程序控制器)设计 52 9. VHDL实现 52 10. 调试仿真 52 11. 课程设计回顾总结 52 参考文献 52 附录 52 一、课程设计目的 1、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。

2、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 二、开发工具选择 以TEC-CA教学实验系统为平台,采用硬件描述语言 VHDL为设计工具,应用QUARTUSⅡ5.1环境进行大规模集成电路的功能设计仿真。 三、方案选择 应用微程序控制器来实现8位模型计算机的设计。 四、指令系统设计 所要设计的微程序控制器是由七条指令来完成的,即:load,sta,add,sub,and1,nop,jmp。实现功能分别如下:load指令是公操作,实现取数据的功能;sta指令实现存操作;add指令实现加法操作;sub指令实现减法操作;and指令实现与操作; nop指令实现空操作;jmp指令实现无条件跳转操作。 其中add,sub,and1,属于双操作数指令,其指令格式如下图: 目的 源 其中jmp属于转移指令,其指令格式如下图: 转移条件 转移地址 五、模型机框图设计 I/O 六、指令流程图 Addr_bus load_MAR CS R_NW 3 OP

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

模型机课程设计

哈尔滨理工大学 软件学院 课程设计报告 课程片上计算机系统 题目 CPU模型机设计 班级集成12-1班 专业集成电路设计与集成系统学生张铭 学号 1214020130 指导教师崔林海 2014年07 月02日

索引: 1.课程设计的目的及要求 (3) 2.处理器的设计思想和设计内容 (3) 3.设计处理器的结构和实现方法 (3) 4.模型机的指令系统 (4) 5.处理器的状态跳转操作过程 (4) 6. CPU的VHDL代码 (7) 7. 模型机在Quartus II环境下的应用 (32) 8. 仿真波形 (33) 9. 课程设计的总结 (35)

一.课程设计的目的及要求: 1.目的:了解Quartus II软件的应用,学习Quartus II环境下设计CPU的基本过程;掌握CPU设计代码的含义以及CPU的工作原理;了解CPU与内存RAM 间的连接数据的传输过程;学习在Quartus II环境下建立模型机的具体过程。融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.要求:以《计算机组成与设计》书中123页的简化模型为基础,更改其指令系统,形成设计者的CPU,在Quartus II环境下与主存连接,调试程序,观察指令的执行是否达到设计构想。 二.处理器的设计思想和设计内容: 处理器的字长为16b;包括四种指令格式,格式1、格式2、格式3的指令字长度为8b,格式4的指令字长度为16b;处理器内部的状态机包括6个状态。 关于CPU: 操作码5位,一共设计20条指令,主要包括空操作指令、中断指令、加法指令、减法指令、三种逻辑运算指令、循环移位操作指令,数据传输指令,转移类指令,特权指令,取反,取绝对值等等。 关于RAM: 地址线设置成16bits,主存空间为64words。 书中原CPU的主要修改: (1)模型机CPU指令集中的逻辑左移与逻辑右移改成逻辑循环右移与逻辑循环左移。 (2)模型机CPU指令集中的or改成not。 (3)模型机CPU指令的执行流程及状态跳转。 三.设计处理器的结构和实现方法: (指令格式) 格式1:寄存器寻址方式 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

相关主题
文本预览
相关文档 最新文档