当前位置:文档之家› VHDL课设论文

VHDL课设论文

VHDL课设论文
VHDL课设论文

摘要

VHDL 是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合

和模拟等多个阶段。随着硬件设计规模的不断扩大,应用硬件描述语言进行描述的FPGA 结构,成为设计专用集成电路和其他集成电路的主流。通过应用VHDL 对数字频率计的设计,达到对VHDL的理解,同时对FPGA 器件进行简要了解。

本设计通过对接收一定频率的方波信号,输出该信号的频率值,频率测量范围:1~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,且用LED(发光二极管)来表示所显示单位,我们这里定义亮绿灯表示以Hz为单位,亮红灯表示以KHz为单位。

关键字:FPGA ;频率;数字;波形图

目 录

一、引言 .............................................................................................................. 1 二、系统的设计要求 ........................................................................................... 1 三、系统分析 (2)

3.1 控制系统构成 ....................................................................................................... 2 3.2 系统状态转换 . (3)

四、控制系统控制算法模型的建立 ..................................................................... 4 五、系统程序设计 .. (4)

5.1 程序的总体描述 ..................................................................................................... 4 5.2 设计的具体实现及各模块仿真图 (6)

六、运行结果与分析 (20)

6.1测量与显示:测量信号 200f Hz = ................................................................... 20 6.2测量与显示:测量信号 4f kHz = ...................................................................... 20 6.3测量与显示:测量信号 20f KHz = .................................................................. 21 6.4测量与显示:测量信号 4f kHz = . (21)

七、心得体会 ..................................................................................................... 22 八、参考文献 .. (23)

一、引言

需求分析

随着现代社会的发展,数字信号的研究以对信号的频率研究为基本,所以数字信号频率测试显得尤为重要。

实现方案

采用自顶向下设计方法,频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当TSTEN高电平时,允许计数;低电平时,停止计数,并保持其所计的数。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B中,并由外部的7段译码器译出并稳定显示。锁存信号之后,必须有一清零信号CLR_CNT对计数器进行清零,为下1秒钟的计数操作作准备。

二、系统的设计要求

设计数字频率计,满足如下功能:

(1)用VHDL语言完成数字频率计的设计及仿真。

(2)频率测量范围:1~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,且用LED(发光二极管)来表示所显示单位,我们这里定义亮绿灯表示以Hz为单位,亮红灯表示以KHz为单位。

(3)具有自动校验和测量两种功能,即既能用于标准时钟的校验,同时也可以用于未知信号频率的测量。

(4)具有超量程报警功能,在超出目前所选量程档的测量范围时,会发出音响报警信号。

三、系统分析

3.1 控制系统构成

频率即信号1s 内振动次数,因此测定信号的频率必须有一个脉宽为1秒的输入信号作为计数允许的信号;1 秒计数结束后,计数值锁入锁存器,并为下一测频计数周期作准备的计数器清零。

数字频率计框图如图3.1所示。由控制、计数、锁存、译码显示四部分组成。工作原理为:控制信号产生电路对系统时钟分频后产生0.5Hz 的门控信号gate ,锁存允许信号LE,清零信号MR 。当gate 为高电平时,计数器对被测信号cin 进行计数;1s 后gate 变为低电平,计数器停止计数;当gate 为低电平、LE 上升沿这两个条件同时满足时,锁存电路将32位计数结果锁存送译码显示电路;当gate 为低电平、MR 上升沿这两个条件同时满足时,计数器清零,为下一次计数做准备。

图3.1 数字频率计框图

锁存电路

十进制计数器十进制计数器

十进制计数器

Cin

4位

4位

4位

数码管选通信号(8位)

显示码(8位)

进位

选择译码电路

32位

控制信号产生电路 时钟clk

LE (锁存允许)

MR (清零)

Gate

1、控制信号产生电路:根据选定的输入时钟信号设定分频系数,要求输出0.5Hz门控信号gate、1Hz锁存允许信号LE和1Hz清零信号MR。这几个信号控制整个系统的工作,非常关键,要求先锁存后清零,否则计数结果可能丢失,参考时序图18.2所示。

2、计数模块:定义十进制计数器元件,有cp(时钟输入)、MR(清零输入,上升沿有效)、gate(门控信号)三个个输入引脚,Q0~Q

3、co(进位)5个输出引脚。功能定义为gate为高电平时在cp上升沿计数;gate为低MR为高时清零。利用元件调用的方法组成8位十进制计数器

3、锁存电路:设计一32位锁存器,定义gate(门控信号)、LE(锁存允许,上升沿有效)d0~d31共34个输入引脚;Q0~Q31共32个输出引脚。功能定义为gate为低时在LE上升沿锁存。

3.2 系统状态转换

频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当TSTEN高电平时,允许计数;低电平时,停止计数,并保持其所计的数。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B中,并由外部的7段译码器译出并稳定显示。锁存信号之后,必须有一清零信号CLR_CNT 对计数器进行清零,为下1秒钟的计数操作作准备。测频控制信号发生器的工作时序如图6.6所示。为了产生这个时序图,需首先建立一个由D触发器构成的二分频器,在每次时钟CLK上沿到来时其值翻转。

其中控制信号时钟CLK的频率取1 Hz,而信号TSTEN的脉宽恰好为1 s,可以用作闸门信号。此时,根据测频的时序要求,可得出信号LOAD和CLR_CNT的逻辑描述。在计数完成后,即计数使能信号TSTEN在1 s的高电平后,利用其反相值的上跳沿产生一个锁存信号LOAD,0.5 s后,CLR_CNT产生一个清零信号上跳沿。

四、控制系统控制算法模型的建立

二分频

测量校验选择

AND

计数器cp

enable

锁存器

ld

送存选择报

扫描显示

CP

clk

selin

measure test

K

alert

图1 系统设计框架图

五、系统程序设计

5.1 程序的总体描述

通过计算已知单位时间内待测信号的脉冲个数来计算被测信号的频率,同时通过动态扫描方式在三个数码管上显示出测得频率值。如下图1的系统框图所示,计数器对CP 信号进行计数,在1秒定时结束后,将计数器结果送锁存器锁存,并通过时钟下降沿将不再变化的测量值送至数码管显示。在下一个计数时钟信号上升沿到来时,再次重新计数。 系统各个模块介绍如下: (1)测量/校验选择模块:

输入信号:选择信号selin,被测信号measure,标准校验信号test;

输出信号:CP;

当selin=0时,为测量状态,CP=measure;

当selin=1时,为校验状态,CP=test。校验与测量共用一个电路,只是被测信号CP不同而已。

(2)测频控制信号发生器(二分频):

输入信号:1Hz时钟信号clk;

输出信号:1秒钟高电平基准信号clk1(周期为2秒);

(3)四级十进制计数器模块(带进位C):

输入信号:clk1、CP,用于计数开始、清零、锁存。

输出信号:q4~q1设置超出量程档测量范围示警信号alert。若被测信号频率小于1KHz(K=0),则计数器只进行三级十进制计数,最大显示值为999.Hz,如果被测信号频率超过此范围,示警信号扬声器报警;若被测信号为1KHz~10KHz (K=1),计数器进行四位十进制计数,取高三位显示,最大显示值为9.99KHz,如果被测信号频率超过此范围, 示警信号扬声器报警。

(4)送存选择、报警电路模块。

表1 送存选择、报警电路状态表

量程控制计数器锁存小数点位置报警信号

K q4(0) C d3 d2 d1 alert

0 0 1 1

1

X

X

1

q3 q2 q1

q3 q2 q1

q4 q3 q2

q4 q3 q2

右第一位

右第一位

左第一位

左第一位

1

1

设置:量程档控制开关K,单位显示信号unit。当K=0时,为1~999Hz量程

档,数码管显示的数值为被测信号频率值,unit显示绿色,即单位为Hz;当K=1时,为1KHz~10KHz量程档,被测信号频率值为数码管显示的数值乘1000,unit 显示红色,即单位为KHz。

(5)锁存器模块。

输入信号:d3~d1,ld。

输出信号:q1,q2,q3,小数点单位显示unit_display。(6)扫描显示模块。

输入信号:q1,q2,q3,扫描时钟scan_clk。

输出信号:数码管选择信号sel,ledout。

5.2 设计的具体实现及各模块仿真图

源代码一共由九部分组成,以下将对这九部分进行逐一介绍:(1)顶层例化模块:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity f_counter is

port(clk,selin,test,measure,K:in std_logic;

alert,led_red,led_green:out std_logic;

ledout:out std_logic_vector(7 downto 0); --端口定义

sel:out std_logic_vector(2 downto 0));

end f_counter;

architecture f_counter of f_counter is

component div_clk

port(clk:in std_logic;

clk1:out std_logic);

end component;

component tm_sel

port(selin,test,measure:in std_logic;

CP:out std_logic);

end component;

component count

port(CP,clk1:in std_logic;

C:out std_logic;

Q1,Q2,Q3,Q4:out std_logic_vector(3 downto 0));

end component;

component alarm

port(clk1,C,K,CP:in std_logic;

Q1,Q2,Q3,Q4:in std_logic_vector(3 downto 0);

Y,alert:out std_logic;

d1,d2,d3:out std_logic_vector(3 downto 0));

end component;

component lock

port(clk1,Y,scan_clk:in std_logic;

d1,d2,d3:in std_logic_vector(3 downto 0);

unit_display:out std_logic;

cnt_low,cnt_mid,cnt_high:out std_logic_vector(3 downto 0));

end component;

component display

port( scan_clk:in std_logic;

cnt_low:in std_logic_vector(3 downto 0);

cnt_mid:in std_logic_vector(3 downto 0);

cnt_high:in std_logic_vector(3 downto 0);

q : out STD_LOGIC_VECTOR (3 downto 0);

sell:out std_logic_vector(2 downto 0));

end component;

component dec_led

port(q : in STD_LOGIC_VECTOR (3 downto 0);

unit_display:in STD_LOGIC;

sell:in std_logic_vector(2 downto 0);

sel:out std_logic_vector(2 downto 0);

ledout : out STD_LOGIC_VECTOR (7 downto 0));

end component;

component div_scan_clk

Port ( CP : in STD_LOGIC;

scan_clk : out STD_LOGIC);

end component;

signal CP,clk1,C,unit_display,Y,scan_clk:std_logic;

signal sell:std_logic_vector(2 downto 0);

signal Q1,Q2,Q3,Q4,d1,d2,d3,cnt_low,cnt_mid,cnt_high,q:std_logic_vector(3 downto 0);

begin

U1:tm_sel port map(selin,test,measure,CP); --端口映射

U2:div_clk port map(clk,clk1);

U3:count port map(CP,clk1,C,Q1,Q2,Q3,Q4);

U4:alarm port map(clk1,C,K,CP,Q1,Q2,Q3,Q4,Y,alert,d1,d2,d3);

U5:lock port map(clk1,Y,scan_clk,d1,d2,d3,

unit_display,cnt_low,cnt_mid,cnt_high)

U6:display port map(scan_clk,cnt_low,cnt_mid,cnt_high,q,sell);

U7:dec_led port map(q,unit_display,sell,sel,ledout);

U8:div_scan_clk port map(CP,scan_clk);

led_red<=unit_display;

led_green<=not unit_display;

end f_counter;

顶层例化模块仿真图

选择k=1,红灯表示量程为kHz。在范围内,数码管最低位亮小数点,满足设计要求。

(2)测量和自校验选择模块(te_sel)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity tm_sel is

port(selin,test,measure:in std_logic;

CP:out std_logic);

end tm_sel;

architecture tm_sel of tm_sel is

begin

process(selin,test,measure) --测量和自校验选择

begin

if(selin='0')then

CP<=measure;

else

CP<=test;

end if;

end process;

end tm_sel;

测量和自校验选择模块仿真图

输入信号:选择信号selin,被测信号measure,标准校验信号test;

输出信号:CP;

当selin=0时,为测量状态,CP=measure;

当selin=1时,为校验状态,CP=test。校验与测量共用一个电路,只是被测信号CP不同而已。

(3)时钟二分频模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity div_clk is

port(clk:in std_logic;

clk1:out std_logic);

end div_clk;

architecture div_clk of div_clk is

signal clk_counter:integer range 0 to 1;

begin

process(clk) --时钟二分频,高电平脉宽为1s begin

if(clk'event and clk='1')then

if(clk_counter=1)then

clk1<='1';

clk_counter<=0;

else

clk_counter<=clk_counter+1;

clk1<='0';

end if;

end if;

end process;

end div_clk;

时钟二分频模块仿真图

输入信号:1Hz时钟信号clk;

输出信号:1秒钟高电平基准信号clk1(周期为2秒);

(4)脉冲计数模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count is

port(CP,clk1:in std_logic;

C:out std_logic;

Q1,Q2,Q3,Q4:out std_logic_vector(3 downto 0));

end count;

architecture count of count is

signal cnt_1:std_logic_vector(3 downto 0);

signal cnt_10:std_logic_vector(3 downto 0);

signal cnt_100:std_logic_vector(3 downto 0);

signal cnt_1000:std_logic_vector(3 downto 0);

signal cnt:std_logic;

begin

process(CP,clk1)

begin

if(CP'event and CP='1')then --当CLK=1时,CP上升沿计数if(clk1='1')then

if(cnt_1="1001")then

cnt_1<="0000";

cnt_10<=cnt_10+'1';

else

cnt_1<=cnt_1+'1';

end if;

if(cnt_10="1001" and cnt_1="1001")then

cnt_1<="0000";

cnt_10<="0000";

cnt_100<=cnt_100+'1';

end if;

if(cnt_100="1001" and cnt_10="1001" and cnt_1="1001")then

cnt_1<="0000";

cnt_10<="0000";

cnt_100<="0000";

cnt_1000<=cnt_1000+'1';

end if;

if(cnt_1000="1001" and cnt_100="1001" and cnt_10="1001" and cnt_1="1001")then

cnt_1<="0000";

cnt_10<="0000";

cnt_100<="0000";

cnt_1000<="0000";

cnt<='1';

end if;

else

cnt_1<="0000";

cnt_10<="0000";

cnt_100<="0000";

cnt_1000<="0000";

cnt<='0';

end if;

end if;

end process;

Q1<=cnt_1;

Q2<=cnt_10;

Q3<=cnt_100; --计数端口赋值

Q4<=cnt_1000;

C<=cnt;

end count;

脉冲计数模块仿真图

输入信号:clk1、CP,用于计数开始、清零、锁存。

输出信号:q4~q1设置超出量程档测量范围示警信号alert。若被测信号频率小于1KHz(K=0),则计数器只进行三级十进制计数,最大显示值为999.Hz,如果被测信号频率超过此范围,示警信号扬声器报警;若被测信号为1KHz~10KHz (K=1),计数器进行四位十进制计数,取高三位显示,最大显示值为9.99KHz,如果被测信号频率超过此范围, 示警信号扬声器报警。

(5)报警模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity alarm is

port(clk1,C,K,CP:in std_logic;--clk1是主时钟二分频后的时钟,C是计数器的进

位,K为量程控制开关

Q1,Q2,Q3,Q4:in std_logic_vector(3 downto 0);

Y,alert:out std_logic;

d1,d2,d3:out std_logic_vector(3 downto 0));

end alarm;

architecture alarm of alarm is

begin

p1:process(clk1,Q4,Q3)

begin

if(clk1='1')then

if(K='1')then

d3<=Q4;

else

d3<=Q3;

end if;

end if;

end process p1;

p2:process(clk1,Q3,Q2)

begin

if(clk1='1')then

if(K='1')then

d2<=Q3;

else

d2<=Q2;

end if;

end if;

end process p2;

p3:process(clk1,Q2,Q1)

begin

if(clk1='1')then

if(K='1')then

d1<=Q2;

else

d1<=Q1;

end if;

end if;

end process p3;

p4:process(clk1,C,CP) --报警信号alert触发产生begin

if(clk1='1')then

if(K='1')then

if(C='1')then

alert<='1';

else

alert<='0';

end if;

else

if(Q4="0001" and C='0')then

alert<='1';

else

alert<='0';

end if;

end if;

end if;

end process p4;

Y<='1' when K='1'

else '0';

end alarm;

报警模块仿真图

设置:量程档控制开关K,单位显示信号unit。当K=0时,为1~999Hz量程档,数码管显示的数值为被测信号频率值,unit显示绿色,即单位为Hz;当K=1时,为1KHz~10KHz量程档,被测信号频率值为数码管显示的数值乘1000,unit 显示红色,即单位为KHz。

(6)锁存器模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity lock is

port(clk1,Y,scan_clk:in std_logic;

d1,d2,d3:in std_logic_vector(3 downto 0);

unit_display:out std_logic;

cnt_low,cnt_mid,cnt_high:out std_logic_vector(3 downto 0)); end lock;

architecture lock of lock is

begin

process(clk1,Y,scan_clk,d1,d2,d3)

begin

if(clk1='1')then

unit_display<=Y; --数据锁存

cnt_low<=d1;

cnt_mid<=d2;

cnt_high<=d3;

end if;

end process;

end lock;

锁存器模块仿真图

输入信号:d3~d1,ld。

输出信号:q1,q2,q3,小数点单位显示unit_display。

(7)扫描时钟模块

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity div_scan_clk is

Port ( CP : in STD_LOGIC;

scan_clk : out STD_LOGIC);

end div_scan_clk;

architecture div_scan_clk of div_scan_clk is signal clk_counter:integer range 0 to 2;

signal clk1:std_logic;

begin

process(CP)

begin

if(CP'event and CP='1')then

if(clk_counter=2)then

clk1<='1';

clk_counter<=0;

else

clk_counter<=clk_counter+1;

clk1<='0';

end if;

scan_clk<=clk1;

end if;

end process;

end div_scan_clk;

扫描时钟模块仿真图

输入信号:q1,q2,q3,扫描时钟scan_clk。输出信号:数码管选择信号sel,ledout。

(8)显示模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity display is

port(scan_clk:in std_logic;

cnt_low:in std_logic_vector(3 downto 0);

cnt_mid:in std_logic_vector(3 downto 0);

cnt_high:in std_logic_vector(3 downto 0);

q:out std_logic_vector(3 downto 0);

sell:out std_logic_vector(2 downto 0));

end display;

architecture display of display is

signal mux3:std_logic_vector(1 downto 0);

signal q_temp:std_logic_vector(3 downto 0);

signal selec:std_logic_vector(2 downto 0);

begin

P1:process(scan_clk,cnt_low,cnt_mid,cnt_high) --分时总线切换begin

if(scan_clk'event and scan_clk='1')then

if(mux3<2)then

mux3<=mux3+1;

else mux3<="00";

end if;

end if;

case mux3 is

when "10"=>q_temp<=cnt_low;selec<="001";

when "01"=>q_temp<=cnt_mid;selec<="010";

when "00"=>q_temp<=cnt_high;selec<="100";

when others=>null;

end case;

end process P1;

q<=q_temp;

sell<=selec;

end display;

显示模块仿真图

输入信号:q1,q2,q3,扫描时钟scan_clk。

输出信号:数码管选择信号sel,ledout。

(9)数码管译码模块

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity dec_led is

Port ( q: in STD_LOGIC_VECTOR (3 downto 0);

unit_display:in STD_LOGIC;

sell:in std_logic_vector(2 downto 0);

sel:out std_logic_vector(2 downto 0);

ledout: out STD_LOGIC_VECTOR (7 downto 0));

end dec_led;

architecture dec_led of dec_led is

begin

process(q,unit_display,sell) --七段译码显示

begin

case q is

when "0000" =>ledout <="00111111";--显示0

when "0001" =>ledout <="00000110";--显示1

when "0010" =>ledout <="01011011";--显示2

when "0011" =>ledout <="01001111";--显示3

when "0100" =>ledout <="01100110";--显示4

when "0101" =>ledout <="01101101";--显示5

when "0110" =>ledout <="01111101";--显示6

when "0111" =>ledout <="00000111";--显示7

when "1000" =>ledout <="01111111";--显示8

when "1001" =>ledout <="01101111";--显示9

when others =>null;

end case;

if(unit_display='1')then

if(sell="100")then --小数点选择端

ledout(7)<='1';

end if;

else

if(sell="001")then

ledout(7)<='1';

end if;

end if;

毕业论文完整版

学院 毕业论文 浅谈民营企业HR管理弊端及创新 学生姓名: 学号: 年级专业: 指导老师: 系别:管理学院 湖南?长沙 提交日期:2012年5月 目录

摘要 (4) 1 前言 (4) 2 我国民营中小企业中存在的问题 (4) 2.1存在众多的空洞 (4) 2.1.1.人治大于法治 (5) 2.1.2 缺乏科学薪酬体系 (5) 2.1.3 缺乏有效的激励机制 (5) 2.1.4 缺乏对人才的培养 (5) 2.1.5 凝聚力弱、执行力不强 (5) 2.1.6 人员流失率高 (5) 2.1.7 对人才的吸引乏力 (6) 2.1. 8.中小民营企业在经营管理上多半是家族式管理,是“唯亲而举”而并非“唯贤而举” (6) 2.1.9 缺乏专业人力资源战略规划 (6) 2.1.10 概念混淆:人力资源开发与人事管理混淆 (6) 2.1.11机构设置不到位,缺乏专业的人力资源管理者 (7) 2.1.12 缺乏人力资本核算意识。 (7) 2.1.13 只强调管理,激励手段单一 (7) 2.1.14 绩效考核体系不健全,全凭感觉与观察 (8) 2分析存在问题的成因 (8) 2.1 管理体制不健全 (8) 2.2缺乏系统的科学的人力资源战略规划 (9) 2.3激励方法陈旧,手段单一 (9)

2.4人员培训不足 (10) 2.5员工流动过于频繁 (10) 3解决目前我国民营企业人力资源管理问题的对策 (11) 3.1大力推行职业化管理 (11) 3.2制定系统的科学的人力资源战略规划 (11) 3.3人力资源管理观念创新 (12) 3.4人才招聘创新 (13) 3.5建立有效的激励机制 (14) 3.6充分注重员工培训 (15) 3.7完善绩效考核制度 (15) 3.8培训模式创新 (16) 3.8.1打破传统的培训管理格局 (16) 3.8.2培训项目小组具有机动灵活性 (16) 3.9员工关系的改良 (16) 结束语 (17) 参考文献: (17) 致谢 (18) 我国中小民营企业人力资源管理中的问题浅析 作者:潘志明 指导老师:刘林虹 (湖南信息科学职业学院管理学院2009级人力资源管理,长沙 410151)

南京信息工程大学硕士学位论文格式模板

博士、硕士研究生学位论文书写格式 一、学位论文文字格式 (一)电子文档类型 学位论文电子文档的提交方式:学位论文电子文本只能是一个文件,文件格式为PDF,文件名以学号和姓名命名(例如“000123李涛”,姓名前面不要空格),内容为学位论文的全部内容(包括封面、摘要、各章内容、参考文献等内容),与纸质论文完全一致,不要分章形成文件。文件较大时用光盘或者移动硬盘形式提交,并当面检查是否合格。注意论文页码1从正文开始,前面摘要和目录等内容的页码用大写罗马数字表示。 (二)纸张尺寸和页码 博士学位论文一律用A4纸(21×29.7cm)打印,双面印刷。 有页眉上页边距2.1cm,下、左、右页边距都是2.5cm;无页眉上下左右页边距都是2.5cm。内芯通常用小四号字,1.5倍行距;也可以是五号字,行距20磅或1.25倍行距。 硕士学位论文一律用16K(19.5cm*27cm)纸打印,双面印刷。 在有页眉上页边距2.1cm,下、左、右页边距都是2.5cm;无页眉上下左右页边距都是2.5cm。内芯通常用五号字,行距20磅或1.25倍行距;也可以是小四号字,1.5倍行距。

带连续页码(阿拉伯数字页码,底部居中),页码1从正文开始,前面摘要和目录等内容的页码用大写罗马数字表示。在WORD中分段设置页码采用菜单里的“插入”“分隔符”,然后选中“分节符”里的“下一页”,这样就可以分段设置连续页码。 (三)字体要求 1、正文:首行缩进。除引文和前面所述的某些外文符号外一律用正体,文字用横排。 2、一级标题(题目):二号宋体居中;二级标题(章):三号黑体居中; 3、三级0标题(节):四号宋体(粗体)居左;四级标题(节内小节)居左:小四 4、表格文字可用小五宋体或正文字体,居中(或居左)并整齐划一,表格名及图名用5号宋体。 5、标点符号:单个的标点符号(如句号、逗号、分号、顿号、冒号、感叹号、破折号等)和成双的标点符号(如分号、括号)的后半部分不得放在行首,成双的标点符号前半个不得放在句末。 (四)页眉和页脚 1、页眉 页眉采用下列形式(在页眉页脚的页面设置中选择“奇偶页不

本科毕业论文格式(文科)

说明: 一、论文的内容及顺序 (一)中文论文的内容及顺序为: 1、论文封面 2、中文摘要 3、英文摘要 4、论文主体部分 5、参考文献 6、致谢(中文论文的致谢) 7、附录 其中1不编页码,2--7用阿拉伯数字编排页码。 二、除封面外每页都要有页眉,页眉在每一页的最上方,页眉内容为“河南师范大学本科毕业论文(设计)”。用小五号宋体,居中排列,论文、设计二选一。 三、论文全文要求单面打印。 (二)外文论文的内容及顺序为: 1、论文封面 2、致谢(外文论文的致谢) 3、中文摘要 4、英文摘要 5、论文主体部分 6、参考文献 7、附录

学号: 021******* (四号黑体) 农村义务教育应对税费改革的策略 (20磅字号,华文中宋,加粗,居中) 学院名称: 教育科学学院 专业名称: XXXX 年级班别: XXXX 级XXXX 姓 名: XXX 指导教师: XXX (黑体,小三,居中,上面横线上内容要居中) XXXX 年XX 月 河南师范大学 本科毕业论文

农村义务教育应对税费改革的策略(黑体小三,1.5倍行距,居中)摘要(黑体,小四,1.5倍行距)税费改革后我国农村义务教育面临着投入主体财力偏低、拖欠农村中小学教师工资仍然存在、农村中小学公用经费依然短缺、农村“普九”欠债未还、中小学基本办学条件差、农村仍有不少贫困学生需要资助等方面的问题,针对这些问题我们认为可采取以下应对策略:建立与税费改┅┅┅(300字左右)(宋体,小四,1.5倍行距) 关键词(黑体,小四,1.5倍行距)义务教育;税费改革;策略(3-6个)(宋体,小四,1.5倍行距) Measures of Compulsory Education in Countryside After Tax Reform (Times New Roman,小三号,单倍行距,加黑,首字母大写) Abstract(Times New Roman,小四号,1.5倍行距,加黑)The compulsory education in our countryside faces some problems after tax reform:low investment by government,teachers’wages in arrears,shortage of funds in schools,the popularization of nine-year education in countryside still in debt,poor fundamental conditions of schools,many poor students in need of help. The counter measures should be taken as follows:the investm┅┅┅(Times New Roman,小四号,1.5倍行距) Keywords(Times New Roman,小四号,1.5倍行距,加黑)compulsory education;tax reform;measures(Times New Roman,小四号,1.5倍行距) 前言(黑体,小三,1.5倍行距,居中) 农村税费改革直接涉及教育领域的是取消了农村教育费附加和教育集资这两项仅次于国家预算内拨款的教育经费来源①。(如果解释某些内容,或者引文来自经典著作、领导讲话、文件法规、内部资料、工具辞书,以及转引自有关文章,均可做为注释(说明作者、题名和出处),要以圈码标识上标②表示。建议注释采用页下脚注,分页编码)尽管中央财政安排了农村税费改革专项转移支付资金,但是农村义务教育投入仍然面临诸多问题,需要人们分析和解决。(宋体,小四,1.5倍行距) 注:①解释某些内容。 ②引文来自经典著作、领导讲话、文件法规、内部资料、工具辞书,以及转引自有关文章,需说明作者、题名和出处。(宋体,小五号,单倍行距)

课程论文格式模板

物理与光电工程学院 大气环境学 课程论文论文题目 学生姓名 学号 专业光信息科学与技术 授课教师裴世鑫 成绩 二〇一一年十二月二十日

- 2 - 课程论文撰写说明: 1、 题目布置。大气环境学是大气科学和环境科学交叉的分支学科,是从人类环境的角度研 究地球大气,其主要研究内容是组成大气的气体和气溶胶粒子的物理和化学特性、迁移转化规律以及它们与人类活动、气象和生态系统之间的相互影响。大气环境学涉及的内容比较广泛,它包括大气环境的监测技术、理论和模式研究以及应用,主要涉及大气环境状态及其演化规律,大气环境污染及其控制,大气环境中物质的迁移转化规律,大气坏境评价和管理,大气环境与人类和生态系统的相互影响。请根据大气环境学的研究内容,请围绕“此处填写我们布置的论文内容”,撰写一篇不少于3000字的课程论文。(打印时,此段文字要保留,但括号内的内容要删掉) 2、 评分标准。本课程论文将根据以下三个方面进行评分,一是论文的撰写是否与围绕布置 的题目进行,二是撰写论文的态度是否端正,三是文中是否有、有多少作者自己对问题的分析和认识。以上三个方面分别占总分的40%,30%和30%。 3、 论文要同时提交纸质版和电子版,电子版直接将文件名以“学号姓名”格式命名,发送 到邮箱peishixin@https://www.doczj.com/doc/d117128001.html, 或peishixin@https://www.doczj.com/doc/d117128001.html, 中。 4、 论文提交截止日期:2012年1月6日。 标题(居中,二号黑体,一般在20字以内) 英文全部使用Times New Roman 字体,除各部分标题、插图和表格外,一律用五号宋体,正文为1.25倍行距。 0 引言(四号,黑体) 应简要回顾本文研究工作的背景和研究目的,一般400~600字,不超过800字。 1 一级标题(同上)(参考文献出处及注释序号不可标注在文中题目、摘要及一二三 级标题上) 1.1 二级标题(五号,宋体,加粗)科技论文一般用至三级标题,个别有的用至四级标题。 1.1.1 三级标题(五号,宋体,加粗) 图表名称的格式:图片名称标注在下方,表格名称标注在表格上方,居中,汉字用黑体,数字英文用Time New Roman , 罗马字用Symbol ,字号小五。 表1 名称……表格要求见注4 Tab. 1 …… 表格以序号、名称的格式标注,居中,中英文对照,表格为三线表(表格套用格式为简明型1)。 x /cm I /mA v /(m s -1) h /m p /MPa 10 30 2.5 4 110 12 34 3.0 5 111 注:表注和图注用小五号宋体,表注左对齐,图注居中。 1.1.2 三级标题(五号,宋体,加粗) 050 100 1 2 3 4 t /s m /k g

毕业论文(科研类)格式规范

校外(自行选择)实习单位的学生 1.学生自行选择实习单位情况表(一式两份,注意盖实习单位公章) 校外实习单位指导教师职称要求中级职称以上,经校内实习指导教师批准后方可实施。(一份交指导教师,一份装订至实习报告) 2.学生自行选择实习单位情况表考核表(注意盖实习单位公章) 实习日记 要求采用32K 软片笔记本>30 篇 实习报告 1.实习报告封皮 2.毕业实习鉴定表(选择校外实习单位的要盖单位公章,另自行选择实习单位情况表、学生自行选择实习单位实习情况考核表附在实习鉴定表后) 3.实习报告格式 涉及毕业实习成绩,返校后实习日记、实习报告立即交给导师评阅 毕业论文 1.毕业论文封面 2.毕业论文诚信声明和版权使用授权书 3.农学、理学类专业毕业论文格式规范 毕业论文附件材料 1.毕业论文附件材料封面 2.毕业论文任务书 3..毕业论文开题报告 4.毕业论文成绩评定表

青岛农业大学 毕业实习报告 专业班级: 姓名(学号): 指导教师(职称): 实习时间: 实习地点: 年月日

青岛农业大学毕业实习鉴定表

20 年学生自行选择实习单位情况表 说明:1. 此表一式两份,填写完整后,一份由学院存档,一份学生自行保存并装订在实习报告实习鉴定表之后。2.学生必须有明确的实习任务和明确的实习单位,才能实行。

学生自行选择实习单位实习情况考核表 说明:1、本表由实习学生在实习报到时交给实习单位。2、实习单位负责填写本表(“考评结果”按优、良、合格、不合格填写),由实习单位指导教师和负责人共同签字,并加盖实习单位公章方为有效。3、实习结束后,将本表装订至实习报告实习单位情况表后。

毕业论文感谢信_17

毕业论文感谢信 关于毕业论文感谢信范文五篇 充实的大学生活即将结束,我们都知道毕业生要通过最后的毕业论文,毕业论文是一种有计划的、比较正规的检验学生学习成果的形式,那么大家知道正规的毕业论文怎么写吗?下面是小编为大家整理的毕业论文感谢信9篇,欢迎阅读,希望大家能够喜欢。 毕业论文感谢信篇1 当我的毕业论文完成时,要感谢的人实在很多。 首先,我要感谢冯诺依曼先生。正是他发明了电脑,才使我等鸟枪换大炮,从简单的剪报加摘抄进化成复制加粘贴。大大提高了论文速度,在此代表千万学子表示感谢。 其次,更要感谢比尔盖茨先生,他研制的windows 进一步节约了我们的时间,通过互联网,我们能轻轻敲下键盘就能搜索到大量的资料。从此再也不要去图书馆漫山遍野的浪费时间了。当然,顺便感谢百度和google公司。正是由于他们强大的搜索功能才能寻找到那么多资料。 另外,当然不能忘记免费提供论文的小网站,他们为我们提供了大量的论文蓝本,坚持着为人民服务的宗旨,想人之所想,急人之所急,保证贫穷的我们也能及时共享资源。相比之下,那些收费的站应该放下架子,端正服务态度,

变收费为免费,向免费网站学习!大家共同发扬精神共同分享资源,共建和谐社会! 最不能忘记的是我亲爱的导师。他放下神圣的师尊,象朋友一样与我们亲切探讨论文,纠正论文错误,指导我如何嫁接整合抄来的资料,使我的论文如何不被查出有剽窃现象。在此我深深鞠躬。 当然还有论文的答辩老师。他们和蔼可亲,关心学生,答辩会上善解人意,只提出一个问题:你知道你这篇文章写了什么吗?我说:知道然后我就顺利通过了答辩。当我拿到学位的时候,老师的音容笑貌始终浮现在我眼前,我想大声的呼喊:啊!老师,我爱你们! 一次次徘徊,一次次绝望。同学们都给我了巨大的鼓励!论文完成过程中,同学们互相鼓励,互相帮助,互相分享资源,探讨论文,空前团结,及时避免了论文内容的撞车。每当我对抄袭内容太多而受良心谴责的时候,同学们总会及时出现在我面前安慰我:天下文章一大抄,不抄白不抄,于是,我重新调整心情抄袭,连最后一点剽窃羞耻心都去见了上帝。 当然,要感谢的人还有很多,比如网吧的老板,送蛋炒饭的小姑娘。 离开学校完成论文,是一个终点,又是另外一个起点!喝水不忘挖井人,我将铭记大家对我的帮助,以后更好

结课论文格式

论文格式 1、封面,使用学校规定的统一格式。 2、题目 题目用黑体3号,居中。“题目”要对论文的内容有高度的概括性,要简明、准确。 3、摘要 中文摘要应简要说明论文所研究的目的、内容、研究方法、主要成果和结论,应能反映论文的精华。一般为200字左右。在摘要之后另起一行写出3-5个关键词。 【摘要】两字黑体,4号,内容用宋体,4号。 【关键词】三字黑体,4号,内容用宋体,4号。各关键词用两个空格间隔。 中英文摘要部分行间距均22磅,段前段后为0。 4、正文 正文是作者对研究工作的详细表述,它占全文的绝大部分。正文要符合一般学术论文的写作规范,具备学术性、科学性和一定的创造性。应文字流畅,语言准确,层次清晰,论点清楚,论据准确,论证完整、严密,有独立的观点和见解。 其内容主要包括: (1)前言性话语 应说明本课题的背景、目的意义、研究范围等;在综合评述前人工作成果的基础上提出问题。 (2)论文内容:内容要理论联系实际,计算数据要求准确,涉及到他人的观点、统计数据或计算公式等要标明出处。有表格的使用三线表。 论文内容中一级标题“一”黑体三号字居中书写,“(一)”二级标题黑体4号左对齐,标题前空两格;“1”三级标题黑体小四号左对齐,空两格,下文内容另起行。正文字体选用宋体小四号。行间距22磅,页面设置上左边距25mm,下、右边距20mm,装订线5mm。 结论包括对整个研究工作进行归纳和综合而得出的总结,还应包括所得结果与已有结果的比较和本课题尚存的问题,以及进一步开展研究的见解与建议。结论集中反映作者的研究成果,表达作者对所研究课题的见解,是全文的思想精髓,是文章价值的体现。结论要写得概括、简短。跟在正文内容后面即可。

文科毕业论文范文

现代企业的融资战略 院系: 班级: 姓名: 学号: 成绩:

.. 现代企业融资战略是公司金融的操作重点,伴随着世界资本市场的飞速发展,股 权融资已成为企业研究的重中之重。文章分步分析了企业融资的全程操作。 首先,本文对企业的现代性作了分析。现代企业之所以“现代”,不仅仅体现在企 业的经营管理制度上,现代经营理念则是“现代”的最本质涵。进入21世纪,资本经 营逐渐成为企业发展的“时代特征”,而作为资本经营的基础和重要组成部分的企业融 资也越来越受到企业重视。 其次,本文对企业融资战略先做了概述分析。包括:融资原则,融资的决定因素, 融资的方式及其优劣性等等。 第三,本文重点分析了现代企业股权融资运作。先从概念及现状问题入手分析, 通过对公司治理,上市操作,股权再融资等几方面的阐述,并且介绍了公司股票的上 市的程序及资格规定,在总体上告诉读者如何科学规地实施企业股权融资运作,为企 业发展注入活力。 最后,文章提出了融资创新,采取货币资本性融资和非货币性融资,为现代企业 融资课题指明了研究发展的方向。 关键词:资本经营,企业融资,股权融资 5号宋体,居中

Abstract With the constant perfection of the market economy of our country, small and medium-sized enterprises have got unprecedented development too, Its position in country. small and medium-sized enterprises are difficult in financing. Analyze that causes the reason difficult in financing of small and medium-sized enterprises, On one hand the policy to small and medium-sized enterprises of government is insufficient in input, On one hand the financial system, to supporting small and medium-sized enterprises to carry on the direct financing, On one hand the financial system is uncompleted to understanding and service supporting small and medium-sized enterprises to carry on the direct financing and finance indirectly, In addition, it is the questions of a great deal of respects that small and medium-sized enterprises exist. Key Words: Small and medium-sized enterprises, Direct financing, Stock financing

新毕业论文

(补:国外)贸易救济措施对中国纺织品出口影 响分析 黄仙映 (2008级国际贸易) 摘要 加入世界贸易组织以来,中国纺织品出口贸易额每年都在稳步上升。然而,全球金融危机爆发之后,国际市场需求快速萎缩,各国纺织出口企业都面临着争夺国际、国内市场的双重压力。许多国家为扶持和保护本国纺织产业,防范国际市场萎缩导致的贸易转移,不断以不同形式的贸易救济措施来限制中国纺织品的出口。纺织品作为中国的传统支柱行业之一,占据着中国外贸出口的重要地位。因此,如何积极应对贸易救济措施,对促进中国纺织行业可持续发展起到关键的作用。 本文通过对中国纺织品出口遭遇贸易救济措施的表现及影响进行探讨和分析,并在此基础上提出如何应对贸易救济措施限制中国纺织品出口的合理建议。全文共分成五个部分:第一部分概述贸易救济措施的含义和形式;第二部分描述(改:介绍分析)中国纺织品遭遇贸易救济措施的表现;第三部分论述了贸易救济措施对中国纺织品出口影响;第四部分综述了如何应对贸易救济措施的建议;第五部分为结语。 关键词纺织品(补:出口)出口贸易额市场萎缩(删)贸易救济措施补:反倾销特别保障措施可持续发展

The influence of trade remedy measures on Chinese textile export Huang Xianying (International Trade, Business School, Shantou University) ABSTRACT Since joining the WTO, the export of Chinese textile was growing. However, after the global financial crisis, the international market demand is deeply atrophy. All enterprises are facing competition for both the international and the domestic market pressures. In order to support and protect domestic industries, many countries prevent trade diversion which resulted in the international market atrophy, and constantly limit Chinese textiles export in different forms of trade remedy measures. As the textile is one of Chinese traditional industries , it is occupying the important status in China's foreign trade exports. Then, it plays an important role in how to actively respond to trade remedy measures for promoting sustainable development of textile industry. This article tries to discuss and analyze the performance and influence of trade remedy measures for Chinese textile export. Further, we will summarize how to deal with the trade remedy measures and reasonable proposal. The article is divided into five parts: the first part is the meaning and forms of trade remedy measures; the second part describes the performance of Chinese textile trade remedy measures; the third part is the influence of trade remedy measures on Chinese textile export; the fourth part reviews how to deal with the trade remedy measures; the fifth part is the summary. Key words Textile Export trade volume Trade remedy measures Market atrophy Sustainable development

工科毕业论文评语

工科毕业论文评语 导读:本文是关于工科毕业论文评语,希望能帮助到您! 工科毕业论文评语 1. 本文立意新颖。全文以-------为线索,结合各地的准规较全面的分析了------的问题和原因。并针对存在的问题提出解决问题的对策。内容论证也教科学合理。全文充分体现行政管理专业特色,格式规范。但创新点不够。 2. 该文选题符合行政管理专业培养目标要求,能较好地综合运用行政管理知识来分析企业行政管理实践问题,论文写作态度比较认真负责,论文内容较充分,参考的相关资料比较切合论题的需要,层次结构比较合理,主要观点表达的比较明确,逻辑思路基本符合要求,语言表达基本通顺。但论证的深度还不够,创新点不足。 3. . 本文选题较合理,符合行政管理专业要求。全文以……为主题来分析论证,对提高我国行政管理的…………..具有参考与借鉴意义。内容论证也较科学合理,格式较规范,参考的资料紧扣文章主题需要,但创新点不够,论证不够,尤其文章最后一部分论证太薄弱,缺乏说服力。总体上基本达到毕业论文的要求。 4. 本文以……为主题,重点探讨……..问题,选题基本符合行政管理专业范畴,充分体现出专业特色。全文结构符合要求,逻辑思路清晰,论据较充分,观点表达准确,语言流畅,论证方法也较合理,但创新点不够,部分观点论证不充分,格式还不是非常的规范,真正属于自己的思想不多。总体上基本合格。

5. 该文选题具有较强的现实性针对性和实用性。结构安排科学合理,思路清晰,层次分明。各部分之间联系比较紧密,观点表述也基本准确,论证内容比较具有说服力。在论证过程中基本上运用了行政管理专业基本知识原理来分析文中的主要问题,但参考的资料还欠充分,文章缺乏自己原创的内容,少数观点论证不深刻和全面。 6. 本文以官员问责制为题进行研究,能为解决我国官员问责制存在的问题提供参考和借鉴作用。在全文结构中,首先对官员问责制的现实意义进行了分析,然后再对我国官员问责制的困境进行深入的分析,最后提出化解困境的有效建议。全文体现专业特色要求,符合行政管理专业培养要求,参考的文献资料符合论文观点与主题的需要,实践论证还不够,但,真正属于自己创新的内容还不是很多。总体上达到毕业论文要求。 7. 论文思路比较清晰,语句基本通顺,层次清晰,观点表达准确。作者比较很好的将行政管理专业基本原理知识与党内监督实践问题有机结合起来进行分析,并针对党内监督的现实问题提出了一些比较好的解决建议,查阅与参考的文献资料与主题结合的比较紧密,但个别地方论证的观点不是很明确和有说服力,总体上达到毕业论文要求,部分内容与主题结合的还不是很好,逻辑结构也存在一点小问题。总体上说,基本达到毕业论文的基本要求。 8. 论文主题明确,语句基本通顺,层次基本清晰,观点表达基本准确。作者比较很好的将行政管理专业基本原理知识与邓小平关于行政改革的思想有机结合起来进行分析,格式基本规范,选题符合行政管理专业培养要求,但查阅与参考的文献资料太少,部分论证内容与主题结合不紧密,逻辑结构也存在一点小问题。总体上说,基本达到毕业论文的基本要求。 9. 本文符合专业要求,反映社会热点问题。因此,该主题的研究有利

设计毕业论文17开题报告

设计毕业论文17开题报告 1.本课题的目的及研究意义 研究目的: 张爱玲与电影有过长期亲密的接触,在小说写作中创造性地化用了电影化技巧,使她的文字组合带有丰富的视像性和表现力。文本以恐怖电影的表现手法为切入口,对张爱玲中短篇小说出现的恐怖电影式镜头进行解析。试图更近距离地感受张爱玲艺术感觉的细微独特之处,并且在此基础上揭示张爱玲小说所蕴涵的悲剧感和死亡意识。 研究意义: 本文通过对张爱玲中短篇小说出现的恐怖电影式镜头进行解析,试图在体会张爱玲语言的“现代性”的基础上,尝试性地提出1种独特的审美方式,从不同的视角解读张爱玲小说的表现手法。 2.本课题的国内外的研究现状 国内研究现状: 国内对张爱玲小说的电影化表现手法的研究主要从小说的电影画面感、电影造型、电影化技巧等角度切入,考察了张爱玲作品对电影艺术手法的借鉴技巧:

(1)研究张爱玲小说的电影画面感:《犹在镜中——论张爱玲小说的电影感》(何蓓)等; (2)研究张爱玲小说的电影造型:《论张爱玲小说的电影化造型》(何文茜)等; (3)研究张爱玲小说的电影化技巧:《张爱玲小说的电影化技巧》(何文茜);《张爱玲小说的电影化倾向》(申载春);《论张爱玲小说的电影手法》(张江元);《张爱玲小说对电影手法的借鉴》(屈雅红)等; (4)研究张爱玲小说的死亡意识:李祥伟《论张爱玲小说中的死亡意识》等 国外研究现状: 海外研究对张爱玲的研究可以分为两个阶段“第1阶段1957-1984年夏志清,唐文标等人对她作品的介绍与评述”“第2阶段1985年至今,辐射面波及北美等地的华文文学的影响研究分析和评价”。1995年9月后,她在美国辞世后,海外学者多运用西方现代文艺理论来剖析。 3.本课题的研究内容和方法 研究内容: 张爱玲的小说映照了1个阴阳不分、鬼气森森的世界,

课程论文格式范文

福建农林大学计算机与信息学院 (数学类课程) 课程论文报告 课程名称:数学模型 课程论文题目:大学生消费水平与消费结构分析 姓名: 系:应用数学 专业:数学与应用数学 年级:2005级 学号: 指导教师:姜永 职称:副教授 2008年 6 月23日

福建农林大学计算机与信息学院数学类课程 课程论文结果评定

目录 摘要-------------------------------------------------------------------- 1关键字-------------------------------------------------------------------- 1 1、问题重述--------------------------------------------------------------- 2 1.1问题背景----------------------------------------------------------- 2 1.2数据来源----------------------------------------------------------- 2 2、问题分析--------------------------------------------------------------- 2 2.1当代大学生消费的基本状况------------------------------------------- 2 2.2研究意义----------------------------------------------------------- 2 2.3目前大学生的消费特征----------------------------------------------- 3 2.4 研究目的---------------------------------------------------------- 3 3、模型假设--------------------------------------------------------------- 3 4、符号约定--------------------------------------------------------------- 4 5、模型建立与求解--------------------------------------------------------- 4 5.1 数据挖掘与分析---------------------------------------------------- 4 5.2 系统聚类分析------------------------------------------------------ 6 5.3 方差分析---------------------------------------------------------- 6 5.4 相关分析---------------------------------------------------------- 7 5.5 线性拟合---------------------------------------------------------- 8 5.6 层次分析---------------------------------------------------------- 8 6、模型的检验与分析------------------------------------------------------ 11 7、结论和模型的评价------------------------------------------------------ 12 7.1 系统聚类分析的优点----------------------------------------------- 12 7.2 结论------------------------------------------------------------- 12参考文献--------------------------------------------------------------- 13附录--------------------------------------------------------------- 14

计算机科学毕业论文

计算机科学毕业论文 计算机科学毕业论文 随着计算机网络技术的行业规范化,市场的需求越来越明确,实践型的技术人员是企业的内在动力和企业革新的技术基础,因此学校在培养实践型技术人才时应当重视其综合素质和综合能力的培养,以下是WTT为大家准备的计算机科学毕业论文,希望对大家有帮助! 计算机科学毕业论文:电子信息工程中计算机网络技术的应用 摘要:社会经济的发展和信息技术的发展使得我国电子信息技术和电子工程的应用范围更加广泛。而电子信息工程的产生和发展给人们的日常生活和生产提供了巨大的便利,因而深受人们的喜欢。就电子信息工程的特征以及计算机网络技术在电子信息工程中的应用进行简要的介绍。 关键词:计算机网络技术;电子信息工程;应用 电子信息工程对人类社会的生活方式产生了严重的影响,它改变了人们对信息的提取、管理和存储方式,使人们对信息的传递和处理更加方便。计算机网络技术是计算机技术和互联网技术的融合,其在信息的传递和处理方面更加具有智能化、

自动化和安全等特点[1]。将计算机网络技术应用于电子信息工程中能够更好地实现电子信息工程的功能,为人类社会提供更多的便利。 1、电子信息工程概述 电子信息工程指的是利用计算机网络技术来对电子信息进行各种处理的技术,其主要的功能在于对信息进行收集、存储和处理,以及对电子设备信息系统进行重组设计等。随着社会经济的增长和人类生活方式的改变,电子信息工程在人们的生活中的应用更加广泛,也使得我国国民的生活质量、经济发展等更上一层楼。我们常见的人们对于电子信息工程的应用有智能机的使用等。电子信息工程具有较为独有的特征,主要表现为:第一,便捷性。电子信息工程以现代化的信息技术为依托,人们利用电子信息工程可以随时随地获取自己想要的信息,同时还能随时对信息进行任意的处理,具有较强的便捷性[2];第二,涵盖范围广。电子信息工程的主要功能是对信息进行处理,在现代社会中,这种功能的适用性较强,因而电子信息工程的涵盖范围较为广泛。电子信息工程不仅对人们的生活方式发生了改变,甚至能够改变人们工作的形式,对人类社会的发展具有较大的影响;第三,经济性。电子信息工程和其他信息技术如通信技术、计算机网络技术等的融合发展还能够带

17年毕业论文开题报告最佳范文

17年毕业论文开题报告最佳范文 汇报人:xxx

17年毕业论文开题报告最佳范文 17年毕业论文开题报告最佳范文 由于现代信息技术特别是计算机网络技术的飞速发展,国家教育部开始大力推进信息技术教育,一些虚拟学校、远程教育等应运而生。下面是小编整理的关于17年毕业论文开题报告最佳范文,欢迎大家阅读。 17年毕业论文开题报告最佳范文一 一、本课题选题的依据 在现代素质教育的形势下,建设为素质教育服务的、现代化的、功能完善的教育教学资源系统,拓展学生自主学习的空间,发展学生的多种能力,特别是创新能力,已经成为中学教育必须研究的课题。 现代信息技术特别是计算机网络技术的飞速发展,使我们的教育模式产生了质的飞跃,网络化教育将成为信息时代的重要标志和组成部分。探索、研究并构建适宜于在计算机网络环境下的教育教学模式,是教育界亟待解决的课题,也是我们责无旁贷的使命。在网络教育时代,不仅需要有先进科学的教学手段、高效互动的教学方式,更需要有丰富实用的教学资源、完备的教学体系。在网络化教育的大环境下,教师应该成为网络教育的主导力量。而目前,我们的教师对信息技术、网络教育尚不熟悉,利用网络实施教育教学尚有距离,尤其是建立在网络环境下的教育教学新模式还有待起步,基于此,我们提出了本课题的研究。 二、本课题研究的条件 开展本课题研究具有以下有利条件: 背景条件:国家教育部大力推进信息技术教育,虚拟学校、远程教育等应运而生;重庆市教委大力普及信息技术教育,通过评选信息技术示范校加大力度;渝中区率先建立局域网,并通过已经实施了三年的双创课题研究,使教师的教学理念有了极大改观;随着课改的进一步深入,现代技术特别是信息技术在教育教学中得到了广泛的应用。 基础条件:我校通过一年多的艰苦奋斗,信息技术无论在硬件上,还是软件上,都打下了坚实的基础:

课程论文格式模板

XX大学 课程论文 在此输入论文题目,黑体小二(简短、明确、具有概括性,一般不超过20字) 课程名称经济学导论 姓名(居中宋体四号) 班级 学号 系别 专业 方向 指导教师 论文评分 2013年11 月 28 日

目录 摘要 (1) 一、××××××××××...............(宋体小四) (2) (一)××××××××××............(宋体小四) (3) 1.××××××××××...............(宋体小四) (3) 2.××××××××××...............(宋体小四) (4) (二)××××××××××............(宋体小四) (6) 1.××××××××××...............(宋体小四) (6) 2.××××××××××...............(宋体小四) (7) 3.××××××××××...............(宋体小四) (7) 二、××××××××××...............(宋体小四) (8) (一)××××××××××............(宋体小四) (8) 1.××××××××××...............(宋体小四) (8) 2.×××××××××××...............(宋体小四) (10) 3.××××××××××...............(宋体小四) (11) (二)××××××××××............(宋体小四) (13) 1.××××××××××...............(宋体小四) (13) 2.××××××××××...............(宋体小四) (14) 3.××××××××××...............(宋体小四) (15) 结论......(宋体小四) (16) 参考文献......(宋体小四) (18) 目录要求按三级标题编写,标题层次 清晰,目录中的标题应与正文中的标题及 页码一致

工科毕业论文评语

工科毕业论文评语 做好自己的学位论文是每一学生在校学习阶段最为重要的事情,研究生不仅需要在导师的指导下完成高质量的学位论文,论文答辩的好与坏也是整个论文优秀与否的重要指标;下面是有工科毕业论文评语,欢迎参阅。 工科毕业论文评语 1. 本文立意新颖。全文以-------为线索,结合各地的准规较全面的分析了------的问题和原因。并针对存在的问题提出解决问题的对策。内容论证也教科学合理。全文充分体现行政管理专业特色,格式规范。但创新点不够。 2. 该文选题符合行政管理专业培养目标要求,能较好地综合运用行政管理知识来分析企业行政管理实践问题,论文写作态度比较认真负责,论文内容较充分,参考的相关资料比较切合论题的需要,层次结构比较合理,主要观点表达的比较明确,逻辑思路基本符合要求,语言表达基本通顺。但论证的深度还不够,创新点不足。 3. . 本文选题较合理,符合行政管理专业要求。全文以......为主题来分析论证,对提高我国行政管理的..............具有参考与借鉴意义。内容论证也较科学合理,格式较规范,参考的资料紧扣文章主题需要,但创新点不够,论证不够,尤其文章最后一部分论证太薄弱,缺乏说服力。总体上基本达到毕业论文的要求。 4. 本文以......为主题,重点探讨........问题,选题基本符合行政管理专业范畴,充分体现出专业特色。全文结构符合要求,逻辑思路清晰,论据较充分,观点表达准确,语言流畅,论证方法也较合理,但创新点不够,部分观点论证不充分,格式还不是非常的规范,真正属于自己的思想不多。总体上基本合格。 5. 该文选题具有较强的现实性针对性和实用性。结构安排科学合理,思路清晰,层次分明。各部分之间联系比较紧密,观点表述也基本准确,论证内容比较具有说服力。在论证过程中基本上运用了行政管理专业基本知识原理来分析文中的主要问题,但参考的资料还欠充分,文章缺乏自己原创的内容,少数观点论证不深刻和全面。

毕业论文开题报告(17)

蚌埠学院本科毕业设计(论文)开题报告 经济与管理系2017 届交通运输3 班

的规律?遗传算法通过保持一个潜在解的种群进行多方向搜索;采用概率转移来选择部分个体,创造新 后代,发展成一种有效的自适应启发式概率迭代式全局搜索方法。 Bodin,Golden等人在他们的综述文章中就列举了几百篇关于路线优化的文献。Lawrnece将 遗传算法用于VRP的研究,并可有效求解时间约束下的VRP最优解。 Tan Kah Ling和C.K.M.Lee等人以UPS和FedEx为研究背景,研究了快递企业的公司战略、 技术、客户满意度与公司绩效之间的关系、服务水平同企业长期利润之间的关系。 2.2国内研究现状 国内对于配送线路优化的研究要比国外起步晚很多,具体是在上世界90年代才兴起,随着高科 技与智能化应用,加上国外大量研究成果,国内研究也迅速发展,扎根于各个行业领域,如旅游线路优化、超市配送线路优化、快递分发线路优化等。在配送领域中,节约法得到了大量的实际应用, 在解决实际问题中起了很大作用。 浙江大学蔡延光⑴等人运用模拟退火法和遗传算法求解多重车辆调度问题,并将其集成为智能 算法库。 鞍山钢铁学院李大卫⑵和姜大力⑻分别针对有时间窗口和无时间窗口约束下的车辆路径问题用 基因编码算法求解,结果在较快速度下得到了近似优解。 缪立新⑷和相关学者在2003年关于物流行业中的VRP问题提出了神经网络算法。这种新的算 法给车辆调度问题的解决带来很大的作用。钱小燕[5]和相关学者在2004年针对随机需求的配送点 的配送车辆调度问题做出相关研究。并在解决配送车辆调度问题上提出了两阶段模拟退火算法。 魏抒茵和胡晓枫⑹在2005年提出了物流业配送的发展趋势,物流业配送模式由生产者和销售商 自营改变成快递企业进行;物流业配送模式将走向计划化、规模化;物流配送管理技术与方法将实现现代化。同年朱树人和李文彬等人将轮盘赌法加入到遗传算法中,创新了交叉和变异概率的自适应调整技术,并取得了优化解。

相关主题
文本预览
相关文档 最新文档