当前位置:文档之家› 第4章_组合逻辑电路习题解答

第4章_组合逻辑电路习题解答

第4章_组合逻辑电路习题解答
第4章_组合逻辑电路习题解答

习题

4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。

习题4.1图

解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能

4.2分析图所示电路,写出输出函数F 。

习题4.2图 解:[]B A B B

B A F ⊕=⊕⊕⊕=)(

4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.

解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???=

4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。

(1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。

解:(1)ABD BC CD ABD BC CD L ++=??=

L B A =1 =1 =1

F

F B A

(2)

(3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。

习题4.5图

解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2)

(3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 (2)

10

电路逻辑功能为:“判输入ABC 是否相同”电路。

4.7已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。

习题4.7图 解:(1)根据波形图得到真值表:

(2)由真值表得到逻辑表达式为

C AB BC A C B A F ++=

4.8、设∑=

)14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。

1)用与非门实现。

2)用或非门实现。 3) 用与或非门实现。 解:1)

(1)将逻辑函数化成最简与或式并转换成最简与非与非式。

F

C B A

F

D C B D A D C B D C B D A D C B D C B D A D C B F ??=++=++=

(2)根据最简与非与非式画出用与非门实现的最简逻辑电路。

2)

(1) 将逻辑函数的反函数化成最简与或式。

ACD BC A BD D A C B A F ++++=

(2) 利用反演规则将逻辑函数化成最简或与式并转换成最简或非或非式。

D

C A C B A

D B D A C B A D C A C B A D B D A C B A D C A C B A D B D A C B A F ++++++++++++=++++++++=++++++++=))()()()(())()()()((

(3)根据最简或非或非式画出用或非门实现的最简逻辑电路。(图略) 3)

(1)由上步可知逻辑函数的反函数化成最简与或式。

ACD BC A BD D A C B A F ++++=

(2)则逻辑函数的最简与或非式为。

ACD BC A BD D A C B A F ++++=

(3)根据最简与或非式画出用与或非门实现的最简逻辑电路。(图略)

L F

4.9、设计一个由三个输入端、一个输出端组成的判奇电路,其逻辑功能为:当奇数个输入信号为高电平时,输出为高电平,否则为低电平。要求画出真值表和电路图。 解:(1)根据题意,设输入逻辑变量为A 、B 、C ,输出逻辑变量为F ,列出真值表为:

(2)由真值表得到逻辑函数表达式为:

C B A ABC C B A C B A C B A F ⊕⊕=+++=

(3)画出逻辑电路图

4.10、试设计一个8421BCD 码的检码电路。要求当输入量ABCD ≤4,或≥8时,电路输出L 为高电平,否则为低电平。用与非门设计该电路。 解:(1

(2)由真值表可得到输出逻辑函数表达式为:

)15,14,13,12,11,10()9,8,4,3,2,1,0()(0123m m D D D D L ∑+∑=

(3)将输出逻辑函数表达式化简并转换为与非与非式为:

0120120123)(D D D D D D D D D D L ?=+=

(4)画出逻辑电路图

B A =1 =1

C F

D L

4.11、一个组合逻辑电路有两个功能选择输入信号C 1、C 0,A 、B 作为其两个输入变量,F 为电路的输出。 当C 1C 0取不同组合时,电路实现如下功能:

1.C 1C 0=00时,F=A 2.C 1C 0=01时,F= A ⊕B 3.C 1C 0=10时,F=AB 4.C 1C 0=11时,F=A+B

试用门电路设计符合上述要求的逻辑电路。 解:(1)根据题意,列出真值表

(2)由真值表列出逻辑函数表达式为:

B A

C AB C B A C A C C m B A C C F 0100101)15,14,13,11,6,5,1,0(),,,(+++=∑=

(3)根据逻辑函数表达式画出逻辑电路图。

4.13、 8-3线优先编码器

(1) 6=0,3=0,其余为1;(2) EI=0,6=0,其余为1(3) EI=0,6=0,7=0,其余为1;

F

(4) EI=0,0~7全为0; (5) EI=0,0~7全为1。 解:(1)74LS148在输入6=0,3=0,其余为1时,输出所有端均为1。

(2)74LS148在输入EI=0,6=0,其余为1时,输出A 2 A 1 A 0 =001,CS=0,EO=1。

(3)74LS148在输入EI=0,6=0,7=0,其余为1时,输出A 2 A 1 A 0 =000,CS=0,EO=1。 (4)74LS148在输入EI=0,0~7全为0时,输出A 2 A 1 A 0 =000,CS=0,EO=1。 (5)74LS148在输入EI=0,0~7全为1时,输出A 2 A 1 A 0 =111,CS=1,EO=0。 4.14、试用8-3线优先编码器74LS148连成32-5线的优先编码器。 解:

4.15、4-16线译码器74LS154接成如习题4.15图所示电路。图中S 0、S 1为选通输入端,芯片译码时,S 0、S 1同时为0,芯片才被选通,实现译码操作。芯片输出端为低电平有效。 (1) 写出电路的输出函数F 1(A,B,C,D)和F 2(A,B,C,D)的表达式,当ABCD 为何种取值时,

函数F 1=F 2=1;

(2) 若要用74LS154芯片实现两个二位二进制数A 1A 0,B 1B 0的大小比较电路,即A >B

时,F 1=1;A <B 时,F 2=1。试画出其接线图。

习题4.15图 解:(1)∑=

)14,13,9,7,4,0(),,,(1m D C B A F

∑=)15,13,9,8,7,5(),,,(2m D C B A F

当ABCD=0111或ABCD=1001或ABCD=1101时,F 1=F 2=1。 (2)由题意得到真值表如下:

∑=)14,13,12,9,8,4(),,,(1m D C B A F ∑=)11,7,6,3,2,1(),,,(2m D C B A F

画出逻辑电路图为:

4、16用74LS138译码器构成如习题4.16图所示电路,写出输出F 的逻辑表达式,列出真值表并说明电路功能。

习题4.16图

解:(1)由题可得逻辑函数表达式为:

∑=)7,421(),,(,,m C B A F

(2)列出真值表如下:

电路的逻辑功能为:奇偶判别电路。

4、17试用74LS138译码器和最少的与非门实现逻辑函数

1)∑=

)7,6,2,0(C)B,(A,F 1m

2)F 2(A,B,C)=A ⊙B ⊙C 解:(1)

(2)F 2(A,B,C)=A ⊙B ⊙C ∑=

)7,4,2,1(m

4.18、试用3线-8线译码器74LS138设计一个能对32个地址进行译码的译码器。

解:用3线-8线译码器74LS138设计一个能对32个地址进行译码的译码器如图所示。

A Y 8~Y 15 A

4.19、已知8421BCD 可用7段译码器,驱动日字LED 管,显示出十进制数字。指出下列变换真值表中哪一行是正确的。(注:逻辑“1”表示灯亮)

解:第二行4的显示是正确的。

4.20、已知某仪器面板有10只LED 构成的条式显示器。它受8421BCD 码驱动,经译码而点亮,如图所示。当输入DCBA=0111时,试说明该条式显示器点亮的情况。

习题4.20图

解:由图可知,二极管0~7均为亮的,8、9为熄灭的。

4.21、74LS138芯片构成的数据分配器电路和脉冲分配器电路如习题4.21图所示。 (1) 图(a)电路中,数据从G 1端输入,分配器的输出端得到的是什么信号。 (2) 图(b)电路中,G 2A 端加脉冲,芯片的输出端应得到什么信号。

10 10

(a )

(b)

习题4.21图

解:图(a)电路中,数据从G 1端输入,分配器的输出端得到的是G 1的分配信号的非。 图(b)电路中,G 2A 端加脉冲,芯片的输出端应得到的是G 2A 的分配信号。 4.22、 用8选1数据选择器74LS151构成如习题4.22图所示电路,(1)写出输出F 的逻辑表达式,(2)用与非门实现该电路;(3)用译码器74LS138和与非门实现该电路。

习题4.22图

解:(1)由图可知输出F 的逻辑函数表达式为:

ACD

D C A D B A D B A ,,

,m D C B A F +++==∑)15,12,11,86,431(),,,(

(2)略

(3)当D=1时,),,()7,5,1,0(1

C B A F m AC B A F ==

+=∑

当D=0时,),,()6,4,3,2(2

C B A F m C A B A F ==

+=∑

用两片译码器和与非门实现如下: D

4.23、试用74LS151数据选择器实现逻辑函数。

1)∑=

)7,4,2,1(C)B,(A,F 1m

2)∑=)14,13,12,11,9,7,6,5,1(D)C,B,(A,F 2m 。

3)∑∑+=)15,14,13,12,11,10()9,8,7,6,5,3,2,0(D)C,B,(A,F 3d m 。

解:(1)

(2)

D

ABC C AB CD B A D C B A BC A D C B A D C B A D ABC D C AB D C AB CD B A D C B A BCD A D BC A D C B A D C B A m ?+?++?+?+?+?=++++++++==∑11)

14,13,12,11,9,7,6,5,1(D)C ,B,(A,F 2

A B

C

(3)

D

C B A

D C B A C B A BC A C B A d m ++?+?+?=+=∑∑111)15,14,13,12,11,10()9,8,7,6,5,3,2,0(D)C,B,(A,F 3

4.24、8选1数据选择器74LS151芯片构成如习题4.24图所示电路。图中G 为使能端,G=0时,芯片正常工作;G=1时,Y=0(W=1)。分析电路功能,写出电路输出函数F 的表达式。

习题4.24图

解:由题所给逻辑电路图可知,输出逻辑函数表达式为:

CD

B D

C B A

D BC C B A C B A D B A CD B A D C B A D BC C B A C B A D C B A D ABC CD B A D C B A D BC A C B A C B A D C B A D ABC C AB CD B A D C B A D BC A C B A C B A D C B A +++++=+++++=?++?+?+?+?+?=?+?++?+?+?+?+?=

11011D)C ,B,F(A,4.25、试用中规模器件设计一并行数据监测器,当输入4位二进制码中,有奇数个1时,输出F 1为1;当输入的这4位二进码是8421BCD 码时,F 2为1,其余情况F 1、F 2均为0。 解:(1)

(2)由真值表得到输出逻辑函数表达式为:

∑=)14,13

,11,8,7,4,2,1(D)C,B,(A,F 1m ∑=)9,8,765,43,2,10(D)C,B,(A,F 2,,,,m

(3)用74LS154实现逻辑函获数如图所示。

4.26、四位超前进位全加器74LS283组成如习题4.26图所示电路,分析电路,说明在下述情况下电路输出CO 和S 3S 2S 1S 0的状态。

(1)K=0 A 3A 2A 1A 0=0101 B 3B 2B 1B 0=1001 (2)K=0 A 3A 2A 1A 0=0111 B 3B 2B 1B 0=1101 (3)K=1 A 3A 2A 1A 0=1011 B 3B 2B 1B 0=0110 (4)K=1 A 3A 2A 1A 0=0101 B 3B 2B 1B 0=1110

3 3 2 2 1 1 00

习题4.25图

解:(1)当K=0,A 3A 2A 1A 0=0101,B 3B 2B 1B 0=1001时,输出S 3S 2S 1S 0=1110,CO=0。 (2)当K=0,A 3A 2A 1A 0=0111,B 3B 2B 1B 0=1101时,输出S 3S 2S 1S 0=0100,CO=1。 (3)当K=1,A 3A 2A 1A 0=1011,B 3B 2B 1B 0=0110时,输出S 3S 2S 1S 0=0101,CO=1。 (4)当K=1,A 3A 2A 1A 0=0101,B 3B 2B 1B 0=1110时,输出S 3S 2S 1S 0=0111,CO=0。 4.27、试将74LS85接成一个五位二进制数比较器。

解:将74LS85接成一个五位二进制数比较器如图所示,输入两个五位二进制数分别为X 4X 3X 2X 1X 0和Y 4Y 3Y 2Y 1Y 0

4.28、试用74LS 185实现六位二进制数到BCD 码的码组转换电路。

解:用74LS 185实现六位二进制数到BCD 码的码组转换电路如图所示。D 5D 4D 3D 2D 1D 0 为六位二进制数的输入,B 3B 2B 1B 0 A 3A 2A 1A 0为两位十进制数的8421码的输出。

4.29、设每个门的平均传输延迟时间t pd =20ns ,试画出习题4.29图所示电路中A 、B 、C 、D 及v O 各点的波形图,并注明时间参数,设v I 为宽度足够的矩形脉冲.

习题4.29图 解:电路中A 、B 、C 、D 及v O 各点的波形如图所示。

D v I

1 v O &

ο 1 ο ο ο 1 1 & ο

A B C

D 0 D 1 D 2 D 3 D 4 D 5 0 1 2 3 0 1 2 3

4.30、下列各逻辑函数中,其中无冒险现象的为:

A .BC A

B A D A D

C B A F ++=),,,( B .

D BC B A D A D C B A F ++=),,,(; C .BC A D C D A D C B A F ++=),,,(; D .C AB C B A D A D C B A F ++=),,,(.

解:由题可知,A 式中无冒险现象。

4.31、 TTL 或非门组成的电路如习题4.31图所示。 (1) 分析电路在什么时刻可能出现冒险现象?

(2) 用增加冗余项的方法来消除冒险,电路应该怎样修改?

习题4.31图

解:(1)当A=1,B=D=0时,可能会出现冒险现象。 (2)电路在最后一个或非门的输入端增加一个D B + 项。

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

04第四章组合逻辑电路

第四章组合逻辑电路 ▲ 4.1概述 1 ?逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态, 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 『丫1 f1(X’、 X、X n) 斗丫2 f2(X’、 X2、 X n) JY n f n(X1、X2、X n) 4.2组合逻辑电路的分析和设计方法 一、分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)(3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。而与前 组合逻辑电路输出信号

(4)由真值表知:若输入两个或者两个以上的1, 输出丫为1 功能:在实际应用中可作为多数表决电路 使用。 练习:分析如图所示组合逻辑电路的功能 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。例2、 有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI门电路实现。要求如下: (1)一个班学生上自习,开小教室的灯。 (2)两个班上自习,开大教室的灯。 (3)三个班上自习,两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、E、C分别表示三个班学生是否上自习,1表示上自习,0表示不上自习; 输出变量Y、F分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。 (2)列真值表: (3)列真值 表: ABC 丫 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

组合逻辑电路习题解答

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出0 7 Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、

B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达 式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器

组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

数电组合逻辑电路练习题

数电组合逻辑电路练习题 一、填空题 1. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码2. C A AB Y +=,Y 的最简与或式为 。 3. TTL 电路如图1,电路的逻辑表达式F 。 图 1 4.四输入TTL 或非门,在逻辑电路中使用时,有2个输入端是多余的,应将多余端接 。 5. 在TTL 、CMOS 逻辑族中,在电源电压值相同时,噪声容限大的是_______________. 6.F=A B +BD+CDE+A D 最简的与或式是_______________. 7.试将函数F A B C AC BC AC A B AB (,,)()=++++,简化成与或表达式F =_____________. 8. 请分析图示TTL 器件组成的电路,填写所列的真值表. 9.请写出下图S 的表达式 。CO 的表达式 。

1. 7 ,2.AC AB +, 3.A+B , 4.接地, 接低电平或并联使用, 5.CMOS 逻辑;6. D B A +;7. F =C B +; 9.S=B A ⊕ ;CO=AB 二、是非题 (注:请在每小题后用"√"表示对,用"×"表示错) 1.图1TTL 电路逻辑表达式F=A 。 图 2.图2电路输出函数 F =B A + 。 3. 凡是用与非门构成的逻辑电路一定是组合电路。 4. CMOS 门的输出结构和TTL 的类似,可以分成标准的、漏极开路及3态输出三种 。 5. 十进制是7,它的8421BCD 码是0111。 6. 如果与非门输入端均为高电平,那么它所带的是灌电流负载 。 7. 一个16选一的数据选择器,其地址输入(选择控制输入)端有16。 8.当与非门两个输入端AB 的状态由00→11时,将可能产生竞争冒险。 9. 若有变量均为A 、B 、C 、D 的两个逻辑函数F 和G ,且有F +G =1的关系,则F =1-G 的等式成立。 10. 对于共阳接法的发光二极管数码显示器,应采用高电平驱动的七段显示译码器。 1. ×; 2. ×; 3. × ; 4. √; 5. √; 6. √; 7. ×; 8. ×; 9. ×;10. ×; DD F A B

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

组合逻辑电路习题(附答案)

例1 指出下图1所示电路的输出逻辑电平是高电平、低电平还是高阻态。已知图(a)中的门电路都是74系列的TTL门电路,图(b)中的门电路为CC4000系列的CMOS门电路。 图1 解:TTL门电路的输入端悬空时,相当于高电平输入,输入端接有电阻时,其电阻阻值大于1.4K时,该端也相当于高电平,电阻值小于0.8K时,该端才是低电平。而CMOS逻辑门电路,输入端不管是接大电阻还是接小电阻,该端都相当于低电平(即地电位)。所以有如下结论: (a) 1L为低电平状态;2L是低电平状态;3L是高电平状态;4L 输出为高阻状态; (b) 1L输出为高电平;2L输出是低电平状态;3L输出是低电平

状态; 例2 图例2所示为用三态门传输数据的示意图,图中n 个三态门连到总线BUS ,其中D 1、D 2、…、D n 为数据输入端,EN 1、EN 2、…、EN n 为三态门使能控制端,试说明电路能传输数据的原理。 图例2 解:由三态门电路符号可知,当使能端低电平时,三态门输出为高阻阻态,所以,只要给各三态门的使能端n EN EN EN ,,,21 依次为高电平时,则,1n D D 的数据就依次被传输到总线上去。 例3 某功能的逻辑函数表达式为L=∑m(1,3,4,7,12,14,15); (1)试用最少量的“与-非”门实现该函数; (2)试用最少量的“或-非”门实现该函数; 解: (1)设变量为A 、B 、C 、D ,用卡诺图化简,结合“1”方格

得:D B A CD A ABC D C B D B A CD A ABC D C B D C B A f L ) , , , ( (2)卡诺图中结合“0”方格,求最简的“或—与”表达式,得: D C A D C B D B B A D C A D C B D B B A L ) )( )( )( (

第章组合逻辑电路习题解答

第章组合逻辑电路习题 解答 公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两

个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换: 令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表。 输入 中间变量 中间变 量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 1 0 0 0 1 1 0 1

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

组合逻辑电路习题--优选解答.docx

复习思考题 3-1组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来 自低位的进位三者相加,称为全加。半加是两个 1位二进制数相加,全加是三个 1位二进制数相加。 3-3编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5什么是竞争-冒险?产生竞争- 冒险的原因是什么?如何消除竞争- 冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争- 冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习题 3-1 试分析图所示各组合逻辑电路的逻辑功能。 解:(a)图(1)由逻辑图逐级写出表达式:Y ( A B) (C D ) ( 2)化简与变换: Y1A B 令 Y2 C D 则Y Y1 Y2 (3)由表达式列出真值表,见表。 输入中间变量中间变量输出 A B C D Y 1Y 2Y 0000000 0001011

第四章 组合逻辑电路

第三章 组合逻辑电路 一. 填空题 1. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=100时,输出 01234567Y Y Y Y Y Y Y Y 应为 11101111 。 2. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=101时,输出 01234567Y Y Y Y Y Y Y Y 应为 1101111 。 3. 数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电路 和 时序逻辑电路。 。 4. 16选一数据选择器,其地址输入端有 16 个 5. 8选一数据选择器有___8______条地址控制线。 二.选择题 1. 在下列逻辑电路中,不是组合逻辑电路的是 D A.译码器 B.编码器 C.全加器 D.寄存器 2. 三十二路数据选择器,其地址输入端有 C 个 A .16 B .2 C .5 D . 8 3. 数据选择器是具有 A 通道的器件 A.多输入单输出 B.多输入多输出 C.单输入单输出 D.单输入多输出 4. 欲对全班54个同学以二进制代码编码表示,最少需要二进制的位数是(B ) A. 5 B.6 C. 10 D . 53 5. 已知A 、B 为逻辑门的输入端,F 为输出端,其输入、输出波形如图1所示。试判断这是哪种逻辑门的波形 D 。 A B F 图1 A.与非门 B. 与门 C. 或非门 D . 或门 三.简答和计算题

1. 将逻辑函数F AB AC ABC =++转化为与非-与非表达式,并画出只由 与非门实现的逻辑电路图。 2. 将逻辑函数Y=AB+BC+CA化为与非-与非形式,并画出只由与非门实现的逻辑电路图。 3. 用8选1数据选择器74HC151实现函数F AC ABC ABC ABC =+++。 4. 用8选1数据选择器74HC151实现逻辑函数F AC AB ABC =++。 5. 用8选1数据选择器实现函数F=AC+ABC+ABC。

相关主题
文本预览
相关文档 最新文档