当前位置:文档之家› SystemView实验

SystemView实验

SystemView实验
SystemView实验

实验一图符库的使用

一、实验目的

1、了解SystemVue图符库的分类

2、掌握SystemVue各个功能库常用图符的功能及其使用方法

二、实验内容

按照实例使用图符构建简单的通信系统,并了解每个图符的功能。

三、基本原理

SystemVue的图符库功能十分丰富,一共分为以下几个大类

1.基本库

SystemView的基本库包括信源库、算子库、函数库、信号接收器库等,它

为该系统仿真提供了最基本的工具。

(信源库):SystemView为我们提供了16种信号源,可以用它来产生任意信号

(算子库)功能强大的算子库多达31种算子,可以满足您所有运算的要求

(函数库)32种函数尽显函数库的强大库容!

(信号接收器库)12种信号接收方式任你挑选,要做任何分析都难不倒它

2.扩展功能库

扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。

它允许通信、DSP、射频/模拟和逻辑应用。

(通信库):包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信

道模型一应俱全。

(DSP库):DSP库能够在你将要运行DSP芯片上仿真DSP系统。该库支持大多DSP芯片的算法模式。例如乘法器、加法器、除法器和反相器

的图标代表真正的DSP算法操作符。还包括高级处理工具:混合的Radix

FFT、FIR和IIR滤波器以及块传输等。

(逻辑运算库):逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件功能图标及用户自己的图标等。

(射频/模拟库):射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配器等。

3. 扩展用户库

扩展的用户库包括有扩展通信库2、IS95/CDMA 、数字视频广播DVB

等。

通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。

IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA 所有信道模型,可按两种速率工作。

四、 实验步骤

第一部分:计算信号的平方

1) 从基本图符库中选择信号源图符,选择正弦波信号,参数设定中设置幅度为1,频

率为10Hz ,相位为0。 2) 选择函数库,并选择Algebraic 标签下的

图符。在参数设定中设置a=2,表示

进行x 2运算。

3) 放置两个接收器图符,分别接收信号源图符的输出和函数算术运算的输出,并选择

Graphic 标签下的

图符,表示在系统运行结束后才显示接收到的波形。

4) 将图符进行连接,运行仿真,最终结果如下图所示:

第二部分常规双边带条幅(AM)

1)按快捷键切换到通信图符库Comm,从图符库中拖动一个图符至设

计窗口,双击该图符,选择调制器“Modulators”中的“DSB-AM”,并在参数设置窗口中的文字框中输入幅度1V,频率1000Hz,调制度0.5,确认退出,图符变成

2)放置两个接收器图符,用于接收调制信号和已调信号波形。

3)对图符进行连线,如下图所示:

4)设置仿真参数:

i.仿真时间102.3ms

ii.采样点1024

iii.采样频率10kHz

5)运行仿真,并得到各个接收器的波形。

五、实验结果

1、画出以上两个部分的调制信号和已调信号的波形以及算术表达式

实验二信号的时域与频域分析实验

一、实验目的

1、掌握信号的时域与频域的分析方法

2、掌握SystemVue分析窗口的使用。

3、能利用分析窗口对波形进行时域与频域的分析

二、实验内容

1、建立简单的调制系统,并使用分析窗口对输出信号进行时域与频域的分析,得出分

析结果。

三、基本原理

分析窗口是用户观察SystemVue数据输出的基本工具。如图所示。有多种选项可以增强显示的灵活性和用途。这些功能可以通过单击分析窗工具条上的快捷按钮或通过下拉菜单来激活。在系统设计窗口中单击分析窗口按钮,即可访问分析窗口。在分析窗口中单击系统按钮即可返回系统设计窗口。分析窗口包括标题栏、菜单栏、工具条、滚动条、活动图形窗口和提示信息区。同设计窗口一样,滚动条包括用于左右滚动的水平滚动条和用于上下滚动的垂直滚动条;提示信息区显示分析窗口的状态信息、坐标信息和分析的进度指示;活动图形窗显示输出的各种图形,如波形图、功率谱、眼图等。

四、实验步骤

1、点击菜单栏的File,选择New System建立一个新文件。

2、建立一个常规双边带的调制系统,如实验一所示。

3、单击“Analysis”快捷按钮进入分析窗口,这时应该可以看到两个图形,一个

是100Hz的正弦信号,另一个是调制后的信号。可参考分析窗口工具条,根据个人习惯重新调整窗口显示排列。

4、对输入的信号进行谱分析。单击接收计算器按钮,出现接收计算器选择窗口,

选择“Spectrum”分析按钮,并分两次选中W0、W1,就会出现两个新的图形W2、W3,分别对应前面两个波形的频谱图,其中一个出现在100Hz的位置上(对应未调制的正弦波),另一个在中心频率为1000 Hz的位置上显示出载波和上下两个边带的频谱。

5、对调制信号和已调信号的功率谱进行叠绘。

6、绘制瀑布(WaterFall)图:

单击接收计算器按钮,出现接收计算器选择窗口,选择“Style”下的WaterFall 按钮,并在右边的窗口中选中W1(AM调制信号),然后设置Y Offset的值为-3,即表示将AM调制信号的波形向上垂直移动3个单位,得到新的信号波形。然后将移动后的信号波形与调制信号波形叠绘,得到如下波形窗口:

五、实验结果

1、分别读取已调信号频谱中的上下边带的峰值点。

2、修改双边带调制信号的调制参数,观察调制参数的变化对已调信号时域和频域上施

加的影响,并作好记录。

实验三 信号的运算

一、 实验目的

1、 掌握SystemVue 中函数库与算子库的使用

2、 进一步熟悉SystemVue 中分析窗口的使用

二、 实验内容

1、 熟悉函数库中常用图符的功能,并使用相应的图符完成信号的运算操作

三、 实验步骤

第一部分:实现高斯函数2

)4()(--=t e t y

1. 选择信源图符库,并选择Aperiodic 下的Time 图符,增益参数设定为1,表示一

个线性增加的信号。

2. 选择函数库的Polynomial(多项式)图符,对信号代入多项式。设定多项式为t-4,

即2345,,,X X X X 系数均设定为0,而X 和X 0系数分别设定为1和-4。

3. 选择Function 图符库下的X^a 图符,用来表示信号的a 次方,按照函数式,a=2。

4. 在Operator(算子库)中选择Negate 图符,表示负号。

5. 选择Function 图符库下的a^x 图符,用来表示a 的x 次方,按照函数式,

a=e=2.71828。

第二部分实现函数

t t)

sin(

1.设定仿真时间参数,设定仿真时间为20秒,采样频率20Hz。

2.选择信源图符库,并选择Aperiodic下的Time图符,增益参数设定为1,表示一

个线性增加的信号。

3.选择函数库的Polynomial(多项式)图符,对信号代入多项式。设定多项式为t+e-10

(防止出现仿真时间为0时的分母为0的情况)。

4.选择Function图符库下的X^a图符,用来表示信号的a次方,按照函数式,a=-1。

5.选择信源图符库,并选择一正弦信号,设定其幅度为1,频率为0.15Hz。

6.将两路信号通过相乘器相乘得到

t t)

sin(

信号,并通过接收器显示,最终仿真结果如下图所示:

四、实验结果

1.画出相应的信号输出波形

2.利用SystemV iew绘制实指数信号-5t

2e

f(t) ,给出系统模型,并画出波形图

实验四信号的分解与合成

一、实验目的

1、了解信号的分解与合成原理。

2、掌握FIR滤波器的设计方法。

二、实验内容

1、通过设计一个仿真模型,进行信号的分解与合成。

三、基本原理

为了便于研究信号传输和信号处理等问题,往往将一些信号分解为比较简单(基本)的信号分量之和。分解的方法有多种,常见的分析方法有:直流分量与交流分量,偶分量与奇分量,脉冲分量与正交函数集等。其中将信号分解为正交函数集的研究方法在信号与系统理论中占有重要地位。傅立叶分析法是常见的一种,一个矩形信号可分解为:

由此可看出,其傅立叶展开式只含有奇次谐波分量1,3,5...2n-1,于是可按照下图对方波信号进行分解,然后再通过相加器进行信号的合成。

四、实验步骤

1、设定系统的仿真时间参数:仿真时间为20秒,采样频率为1KHz,采样点数为

20480个。

2、从信源图符中选择脉冲信号,设定其为方波,频率为10Hz

3、分别设定6个带通滤波器,通带频率分别为10Hz,30Hz,50Hz,70Hz,90Hz,

11Hz带宽都为4Hz。信号通过这6个带通滤波器以后分别得到1,3,5,7,9,11次谐波分量。

4、为每个谐波分量连接一个接收器,观察6个谐波分量的波形

5、将6个谐波分量通过加法器进行叠加,得到合成以后的信号波形。

五、实验结果

1、画出原方波信号的频谱图以及合成信号的频谱图,并对两者进行比较,分析得

到的结果。

实验五 数字基带传输系统仿真实验

一、 实验目的

1、 加深对数字基带信号传输的无失真条件的了解。

2、 熟悉奈奎斯特第一准则的验证方法

二、 实验内容

1. 验证奈奎斯特第一准则。

三、 基本原理

传输数字基带信号受到约束的主要因素是系统的频率特性,当基带脉冲信号通过系统时,系统的滤波作用使脉冲拖宽,在时域上,它们重叠到附近的时隙中去。接收端按约定的时隙对各点进行抽样,并以抽样时刻测定的信号幅度为依据进行判决,来导出原脉冲的消息,若重叠到临近时隙内的信号太强,就可能发生错误判决,从而产生码间串扰。

奈奎斯特第一准则给出了消除这种码间干扰的方法,并指出了信道带宽与码速率的基本关系,即

N N b

b B f T R 221===

其中R b 为传码率,单位为B/s (波特/秒)。f N 和B N 分别为理想信道的低通截止频率和奈奎斯特带宽。

假定有一数字基带信号,其码速率为100b/s ,则按照奈奎斯特第一准则,为保证数字基带信号的无失真传输,传输信道的带宽必须要在50Hz 以上。同理,如果数字基带信号的码速率高于100b/s ,则在50Hz 的带宽下不能保证信号的无失真传输。

四、 实验步骤

1、 设定系统的仿真时间参数:采样频率设定为1000Hz ,采样点位512个

2、 放置信号源:码速率为100b/s 的伪随机信号

3、 放置用于整型的升余弦滚降低通滤波器,其截止频率设定为50Hz ,在60Hz 处有-60dB

的衰落,相当于一个带宽为50Hz 的信道 4、 为了模拟传输的噪声,将低通滤波器的输出叠加上一个高斯噪声,设定其标准差为0.1。

5、 接收端由一个低通FIR 滤波器、一个抽样器、一个保持器和一个缓冲器组成,分别

完成信号的滤波,抽样,判决以及整型输出。其中抽样器的抽样频率与数据信号的数据率一致,设为100Hz 。为了比较发送端和接收端的波形,在发送端的接收器前

和升余弦滚降滤波器后各加入了一个延迟图符。最终的仿真系统如下图所示:

6、关闭噪声信号,运行仿真,将输入信号波形与输出信号波形进行叠加,观察仿真结

果。

7、开启噪声信号,比较输入信号与输出信号的波形

8、改变噪声幅度,观察输出信号的变化。

9、将伪随机信号的码速率修改为110b/s,运行仿真,再次观察输入输出信号波形的差

别。

五、实验结果

1.画出仿真过程中的相关波形

实验六 数字调制系统仿真实验

一、 实验目的

1、 掌握ASK ,PSK (DPSK )和多进制数字键控等数字调制技术的原理

2、 掌握数字调制系统仿真的方法

二、 实验内容

1、 设计一个数字调制系统

三、 基本原理

当调制信号位二进制数字信号时,这种调制称为二进制数字调制。在二进制数字调制中,载波的幅度、频率或相位只有两种变化状态,常用的二进制数字调制方式有以下几种:二进制振幅键控调制(2ASK )、二进制频移键控(2FSK )、二进制移相键控(2PSK )和二进制相对(或差分)相位键控(2DPSK )。 1、 二进制振幅键控(2ASK )

1) 调制方法

2ASK 信号可表示为: 式中,g(t)是持续时间为Ts 的矩形脉冲,即:

产生2ASK 的方法有两种,如图所示。

相应的调制输出如下图所示:

??

?≤=t

T t t g s 其它0

2/1

)(??

?-=出现

以概率出现以概率P P a n 11

0t

nT t g a

t t s t e c n

s n

c

ωωcos ])([

cos )()(0

∑-==

2) 2ASK 信号的解调

相干解调法:

包络检波法

2、 二进制频移键控(2FSK )

1) 调制方法

2FSK 信号可表示为:

)

cos(])([)

cos(])([)

cos()()cos()()(2112110n n

s n n n

s n n n

t nT t g a t nT t g a t t s t t s t e θω?ωθω?ω+-++-=+++=∑∑

式中,g(t)是持续时间为Ts 的矩形脉冲,即:???≤=t

T t t g s 其它0

2/1)(

产生2FSK 的方法有两种,如图所示。

??

?-=出现

以概率出现以概率P P a n 11

0的反码

为n n a a

FSK调制信号的输出如下图所示:

2)解调方法

2FSK信号有两种基本解调方法:非相干解调和相干解调,此外,还有鉴频法、过零检测法和差分检波法。

包络检波法

相干解调法

四、实验步骤

2ASK仿真部分:

1、根据2ASK调制原理,采用相乘器或者开关电路产生2ASK信号,用SystemV ue

仿真实现,观察输出的2ASK波形。

2、计算ASK信号的带宽,并与利用分析窗口得到的信号功率谱进行对比。

3、根据信号的带宽设定合适的带通滤波器,并采用非相干解调法(包络检波法)

或者相干解调法对产生的2ASK信号进行解调,注意缓冲器中判决门限电平的

设置,观察解调后的信号的波形,并与原波形进行比较。

4、具体的仿真系统如下图所示:

FSK仿真部分:

1、根据2FSK调制原理,采用相乘器或者开关电路产生2FSK信号,用SystemV ue

仿真实现,观察输出的2FSK波形。

2、计算2FSK信号的带宽,并与利用分析窗口得到的信号功率谱进行对比。

3、根据信号的带宽设定合适的带通滤波器,(若基带信号的码速率为10b/s,载波

频率为150Hz和100Hz,则可设定带通滤波器的两个截止频率分别为120Hz和

170Hz)并采用非相干解调法(包络检波法)或者相干解调法对产生的2FSK

信号进行解调,(其中包络检波器可采用截止频率为5Hz的低通滤波器表示)

观察解调后的信号的波形,并与原波形进行比较。

4、具体的仿真系统如下图所示:

五、实验结果

1、假定数字基带信号的码速率为10b/s,采用频率为30Hz的载波进行2ASK调制,

试画出2ASK信号的频谱图。

2、修改ASK中缓冲器的判决门限电平,解调输出的波形将发生什么变化?

3、假定数字基带信号的码速率为10b/s,采用频率为100Hz和150Hz的载波进行

2FSK调制,试画出2FSK信号的频谱图。

实验七模拟信号的数字传输仿真

一、实验目的

1、掌握PCM的编码原理。

2、掌握PCM编码信号的压缩与扩张的实现方式

二、实验内容

1、设计一个PCM调制系统的仿真模型

2、采用信号的压缩与扩张方式来提高信号的信噪比

三、基本原理

在现代通信系统中,以PCM(脉冲编码调制)为代表的编码调制技术被广泛地应用于模拟信号和数字传输中,所谓脉冲编码调制,就是将模拟信号的抽样量化值变换成代码,其编码方式如下图所示:

PCM编码经过抽样、量化、编码三个步骤将连续变化的模拟信号转换为数字编码。为了便于用数字电路实现,其量化电平数一般为2的整数次幂,这样可以将模拟信号量化为二进制编码形式。其量化方式可分为两种:

1、均匀量化编码:

常用二进制编码,主要有自然二进码和折叠二进码两种。

2、非均匀量化编码:

常用13折线编码,它用8位折叠二进码来表示输入信号的抽样量化值,第一位表示量化值的极性,第二至第四位(段落码)的8种可能状态分别代表

8个段落的起始电平,其它4位码(段内码)的16种状态用来分别代表每一

段落的16个均匀划分的量化级。

通常情况下,我们采用信号压缩与扩张技术来实现非均匀量化,就是在保持信号固有的动态范围的前提下,在量化前将小信号放大,而将大信号进行压缩。

采用信号压缩后,用8位编码就可以表示均匀量化11位编码是才能表示的动态范围,这样能有效地提高校信号编码时的信噪比。

systemview使用方法

第一部分SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前已推出了3.0版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 1.1 SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code Library)。 1.2 SystemView系统视窗 1.2.1 主菜单功能 进入SystemView后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便笺(NotePads)、连接(Connetions)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tools)和帮助(Help)共11项功能菜单。与最初的SystemView1.8相比,SystemView3.0的操作界面和对话框布局有所改变。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示: 表1-2-1 SystemView3.0各菜单下的工具条及其功能 菜单工具条命令各工具条的功能简述 File菜单 File>>Newsystem 清除当前系统 File>>Open Recent System 打开最新的SystemView文件 File>>Open Existing System 打开已存在的SystemView文件 File>>Open System in Safe Mode 以安全模式打开系统 File>>Save System 用已存在的文件名存储当前系统内容 File>> Save System As 将当前系统内容另存为一个文件 File>> Save Selected Metasystem 存储选择的亚系统文件 File>>System File Information 系统文件信息 File>>Print System: Text Tokens 打印屏幕内容,图符块用文字代替 File>>Print System: Symbolic Tokens 如实打印屏幕内容,包括图符块 File>>Print System Summary 打印系统摘要,即图符块表 图1-2-1 系统视窗 1

SystemView及其操作简介

SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前我们见到的是4.5版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化系统软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 一、SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后,运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含有若干图符库的主库(MainLibrary)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(LogicLibrary)、射频/模拟库(RF Analog Library)、Matlab连接库(M-Link Library)和用户代码库(Costum Library)。 二、SystemView系统视窗 1、主菜单功能 图1 系统视窗 遵循以下步骤进入SystemView系统视窗: (1)双击SystemView图标,开始启动系统。

(2)首先会出现SystemView License Manager窗口,可用来选择附加库。本实验中选择Selectall再左键单击OK结束选择。 (3)然后会出现Recent SystemView Files窗口,可用来方便的选择所需打开的文件。在本实验中,左键单击Close结束选择。 完成以上操作,即可进入SystemView系统视窗。如图1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便签(NotePads)、连接(Connections)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tool)和帮助(Help)等11项功能菜单。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示:

systemview简介及实例

System View 仿真软件简介及实例

目录 第一部分S YSTEM V IEW简介 (2) 1.1 SystemView的基本特点 (2) 1.2 SystemView各专业库简介 (2) 1.3 System View的基本操作 (5) 第二部分通信原理实验 (7) 2.1 标准调幅 (7) 2.2 双边带调制(DSB) (10) 2.3 单边带调制(SSB) (12) 2.4 窄带角度调制(NBFM、NBPM) (14) 2.5 幅移键控ASK (17)

第一部分SystemView简介 SystemView是由美国ELANIX公司推出的基于PC的系统设计和仿真分析的软件工具,它为用户提供了一个完整的开发设计数字信号处理(DSP)系统,通信系统,控制系统以及构造通用数字系统模型的可视化软件环境。 1.1 SystemView的基本特点 1.动态系统设计与仿真 (1)多速率系统和并行系统: SYSTEMVIEW允许合并多种数据速率输入系统,简化 FIR FILTER的执行。 (2)设计的组织结构图: 通过使用METASYSTEM(子系统)对象的无限制分层结 构,SYSTEMVIEW能很容易地建立复杂的系统。 (3)SYSTEMVIEW的功能块: SYSTEMVIEW的图标库包括几百种信号源,接收端, 操作符和功能块,提供从DSP,通讯信号处理,控制直到构造通用数学模型的应用 使用。信号源和接收端图标允许在SYSTEMVIEW内部生成和分析信号以及供 外部处理的各种文件格式的输入/输出数据。 (4)广泛的滤波和线性系统设计: SYSTEMVIEW的操作符库包含一个功能强大的 很容易使用图形模板设计模拟和数字以及离散和连续时间系统的环境,还包含 大量的FIR/IIR滤波类型和FFT类型。 2.信号分析和块处理 SYSTEMVIEW分析窗口是一个能够提供系统波形详细检查的交互式可视环境。分析窗口还提供一个完成系统仿真生成数据的先进的块处理操作的接收端计算器。 接收端计算器块处理功能:应用DSP窗口,余切,自动关联,平均值,复杂的FFT,常量窗口,卷积,余弦,交叉关联,习惯显示,十进制,微分,除窗口,眼模式,FUNCTION SCALE,柱状图,积分,对数基底,数量,相,MAX,MIN,乘波形,乘窗口,非,覆盖图,覆盖统计,解相,谱,分布图,正弦,平滑,谱密度,平方,平方根,减窗口,和波形,和窗口,正切,层叠,窗口常数。 1.2 SystemView各专业库简介 SystemView的环境包括一套可选的用于增加核心库功能以满足特殊应用的库,包括通信库、DSP库、射频/模拟库和逻辑库,以及可通过用户代码库来加载的其他一些扩展库。

Systemview软件仿真实验指导书

Systemview软件仿真实验 Systemview动态系统仿真软件是为方便大家轻松的利用计算机作为工具,以实现设计和仿真工作。它特别适合于无线电话(GSM,CDMA,FDMA,TDMA)和无绳电话,寻呼,机和调制解调器与卫星通信(GPS,DBS,LEOS)设计。能够仿真( c,4x c等) 3x DSP结构,进行各种时域和频域分析和谱分析。对射频/模拟电路(混合器,放大器,RLC电路和运放电路)进行理论分析和失真分析。它有大量可选择的库允许你可以有选择的增加通讯,逻辑,DSP和RF/模拟功能。它可以使用熟悉的windows 约定和工具与图符一起快速方便地分析复杂的动态系统。下面大家可以清楚地了解systemview系统如何方便地辅助您的工作。让我们首先来看一下它的各种窗口: —systemview系统窗 systemview系统设计窗口如下: 图表1系统窗 1 第一行《菜单栏》有几个下拉式菜单,通过这些菜单可以访

问重要的systemvie功能包括File, Edit, Preference, View, Notepads, Connections,Complier, System, Tokens, Help.用 中每个菜单都会下拉显示若干选项。假如我们需要打开一个文件,则只需要用鼠标点中open.....既可,系统会显示对话框提示输入文件名或选择文件名。 2 第二行《工具栏》是由图标按扭组成的动作条: 图标1 清屏幕图标2 消元件 图标3 断线图标4连线 图标5 复制图标6 注释 图标7中止图标8运行 图标9 时间窗图标10分析窗 图标11 打开子系统图标12 创建子系统 图标13 跟轨迹图标14波特图 图标15 画面重画图标16 图标翻转在systemview系统中各动作的操作顺序为: 1)用鼠表单击动作按扭 2)单击要执行动作的图符 3 左侧竖栏为《元件库》,将在后面作详细介绍。 二Systemview 系统分析 分析窗是观察用户数据的基本载体,在系统设计窗口中单击分析按扭(图标是示波器)既可访问分析窗口。在分析窗口有多种选项可以增强显示的灵活性和用途。分析窗显示如下:

SystemView及其操作简介知识讲解

S y s t e m V i e w及其操 作简介

SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前我们见到的是4.5版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化系统软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 一、SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后,运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含有若干图符库的主库(MainLibrary)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(LogicLibrary)、射频/模拟库(RF Analog Library)、Matlab连接库(M-Link Library)和用户代码库(Costum Library)。 二、SystemView系统视窗 1、主菜单功能

图1 系统视窗 遵循以下步骤进入SystemView系统视窗: (1)双击SystemView图标,开始启动系统。 (2)首先会出现SystemView License Manager窗口,可用来选择附加库。本实验中选择Selectall再左键单击OK结束选择。 (3)然后会出现Recent SystemView Files窗口,可用来方便的选择所需打开的文件。在本实验中,左键单击Close结束选择。 完成以上操作,即可进入SystemView系统视窗。如图1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便签(NotePads)、连接(Connections)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tool)和帮助(Help)等11项功能菜单。

systemview使用方法_0

---------------------------------------------------------------最新资料推荐------------------------------------------------------ systemview使用方法 第 1 页 SystemView 美国 ELANIX 公司于 1995 年开始推出 SystemView 软件工具,最早的 1.8 版为 16bit 教学版,自 1.9 版开始升为 32bit 专业版,目前已推出了 3.0 版。 SystemView 是在 Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和 Z 变换分析。 1 .1 SystemView的基本特点 SystemView 基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。 SystemView 的库资源十分丰富,主要包括: 含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code 1 / 3

SystemView实验

实验一图符库的使用 一、实验目的 1、了解SystemVue图符库的分类 2、掌握SystemVue各个功能库常用图符的功能及其使用方法 二、实验内容 按照实例使用图符构建简单的通信系统,并了解每个图符的功能。 三、基本原理 SystemVue的图符库功能十分丰富,一共分为以下几个大类 1.基本库 SystemView的基本库包括信源库、算子库、函数库、信号接收器库等,它 为该系统仿真提供了最基本的工具。 (信源库):SystemView为我们提供了16种信号源,可以用它来产生任意信号 (算子库)功能强大的算子库多达31种算子,可以满足您所有运算的要求 (函数库)32种函数尽显函数库的强大库容! (信号接收器库)12种信号接收方式任你挑选,要做任何分析都难不倒它 2.扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。 它允许通信、DSP、射频/模拟和逻辑应用。 (通信库):包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信 道模型一应俱全。 (DSP库):DSP库能够在你将要运行DSP芯片上仿真DSP系统。该库支持大多DSP芯片的算法模式。例如乘法器、加法器、除法器和反相器 的图标代表真正的DSP算法操作符。还包括高级处理工具:混合的Radix FFT、FIR和IIR滤波器以及块传输等。

(逻辑运算库):逻辑运算自然离不开逻辑库了,它包括象与非门这 样的通用器件的图标、74系列器件功能图标及用户自己的图标等。 (射频/模拟库):射频/模拟库支持用于射频设计的关键的电子组件, 例如:混合器、放大器和功率分配器等。 3. 扩展用户库 扩展的用户库包括有扩展通信库2、IS95/CDMA 、数字视频广播DVB 等。 通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种 衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。 除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了 复合IS95建议的CDMA 所有信道模型,可按两种速率工作。 四、 实验步骤 第一部分:计算信号的平方 1) 从基本图符库中选择信号源图符,选择正弦波信号,参数设定中设置幅度为1,频 率为10Hz ,相位为0。 2) 选择函数库,并选择Algebraic 标签下的图符。在参数设定中设置a=2,表示 进行x 2运算。 3) 放置两个接收器图符,分别接收信号源图符的输出和函数算术运算的输出,并选择 Graphic 标签下的图符,表示在系统运行结束后才显示接收到的波形。 4) 将图符进行连接,运行仿真,最终结果如下图所示: 信号源 平方 接收器 接收器

SystemView基本介绍

SystemView工具条图标介绍 返回上级菜 工具条包括许多常用功能的图标快捷键,当鼠标移动到每个快捷键图标上时,程序会自动提示能键的作用,各功能键的作用如下: 切换图符库: 用于将图符栏在基本图符库与扩展图符库之间来回切换。点击三角形则可入用户自定义库。 打开已有系统: 将以前编辑好的系统调入设计工作区,现有设计区将被新的系统替代,入新的系统以前,软件提示将目前设计区内容存盘。 保存当前设计区: 将当前设计工作区内容存盘。学习版无此功能。必须升级到专业版功能才能有效。 将当前设计工作区的图符及连接输出到打印机。学习版无此功能 清除工作区: 用于清除设计窗口中的系统。如果用户没有保存当前系统,会弹出一个系统的对话框。 删除按钮: 用于删除设计窗口中的图符或图符组。用鼠标单击该按钮再单击要删除的即可删除该图符 断开图符间连接: 单击此按钮后,分别单击需要拆除它们之间连接的两个图符,两图间的连线就会消失。注意必须按信号流向的先后次序按两个图符。 连接按钮: 单击此按钮,再单击需要连接的两个图符,带有方向指示的连线就会出现图符之间,连线方向由第一个图符指向第二个,因此要注意信号的流向。 复制按钮: 单击此按钮,再单击要复制的图符则出现一个与原图符完全相同的图符,符与原图符具有相同的参数值,并被放置在与原图符位置相差半个网格的位置上。 图符翻转: 单击此按钮,再单击需要翻转的图符,该图符的连线方向就会翻转180度,线也会随之改变,但是图符之间的连接关系并不改变。此功能在调整设计区图符位置时用。主要用于美化设计区图符的分布和连线,避免线路过多交叉。 创建便笺: 用于在设计区中插入一个空白便笺框,用户可以输入文字、移动或重新编便笺。 创建子系统: 用于把所选择的图符组创建成MetaSystem。单击此按钮后,按住鼠标左并拖拽鼠标可以把选择框内的一组图符创建为子系统MetaSystem,并出现一个子系统替代原来的图符。 显示子系统: 用于观察和编辑嵌入在用户系统中的MetaSystem结构。单击此按钮,然再单击感兴趣的MetaSystem图符,一个新窗口就会出现并显示出MetaSystem。学习版

SystemView的基本库使用说明

SystemView 的基本库使用说明 基本库 SystemView 的基本库包括信源库、算子库、函数库、信号接收器库等,它为该系统仿真提供了最基本的工具。 1、 SystemView 为我们提供了16种信号源,可以用它来产生任意信号 2、 功能强大的算子库多达31种算子,可以满足您所有运算的要求 3、 32种函数尽显函数库的强大库容! 4、 12种信号接收方式任你挑选,要做任何分析都难不倒它 扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。它允许通信、DSP 、射频/模拟和逻辑应用。 1、 包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信道模型一应俱全。 2、 DSP 库能够在你将要运行DSP 芯片上仿真DSP 系统。该库支持大多DSP 芯片的算法模式。例如乘法器、加法器、除法器和反相器的图标代表真正的DSP 算法操 作符。还包括高级处理工具:混合的Radix FFT 、FIR 和IIR 滤波器以及块传输等。 3、 逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件功能图标及用户自己的图标等。 4、 射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配器等。 扩展用户库 扩展的用户库包括有Elanix 公司自己提供的扩展通信库2、IS95/CDMA 、数字视频广播DVB 。另外其合作伙伴Entegra 公司也提供了自适应滤波器库 (https://www.doczj.com/doc/c817453285.html,)。有能力的读者也可自己用C/C++语言自编所需的库,后加入即可。 通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA 所有信道模型,可按两种速率工作。 数字视频广播库(DVB) Systemview 信号源库 图符 名称 参数 功能描述 扫频信号 (Freq sweep) 1.幅度 2.起始频率 fstart 3.停止频率fstop 4.扫描频率(秒) 5.相位 输出扫频正弦信号:y(t)=Asin(2PIfstart+PI R(tmod(T))2+*) R=(fstop-fstart)/T PSK 载波 (PSK carrier) 1.幅度 2.频率(HZ) 3.载波相位(deg) 4.符号速率 5.符号产生一个u 率相位调制载波信号y(t)=sin(2PIfct+*T(t)+ *) 其中*T(t)是具有u 率相位值的PN 序列(0-2PI),T 是设置的 符号周期(符号速率的倒数),*是载波相位。

SystemView实验报告(全)

昆明理工大学(SystemView)实验报告 实验名称:SystemView 实验时间:20013 年9 月8日 专业:11电信指导教师:文斯 姓名:张鉴 学号:201111102210 成绩:教师签名:文斯 第一章SystemView的安装与操作 一实验目的 1、了解和熟悉Systemview 软件的基本使用; 2、初步学习Systemview软件的图符库,能够构建简单系统。 二实验内容 1、熟悉软件的工作界面; 2、初步了解Systemview软件的图符库,并设定系统定时窗口; 3、设计一些简单系统,观察信号频谱与输出信号波形。 三实验过程及结果 1.1试用频率分别为f1=200HZ、f2=2000HZ的两个正弦信号源,合成一调制 信号y(t)=5sin(2πf1t)*cos(2πf2t),观察其频谱与输出信号波形。注意根据信号的频率选择适当的系统采样数率。 画图过程: (1)设置系统定时,单击按钮,设置采样率20000Hz,采样点数512;

(2)定义两个幅度分别为1V,5V,频率分别为200Hz,2000Hz的正弦和余弦信号源; (3)拖出乘法器及接收图符; (4)连线; (5)运行并分析单击按钮和。 仿真电路图: 波形图如下: 频谱图如下:

结果分析: 频率为200HZ 的信号与频率为2000HZ的信号f2相乘,相当于在频域内卷积,卷积结果为两个频率想加减,实现频谱的搬移,形成1800HZ和2200HZ的信号,因信号最高频率为2000HZ所以采用5000HZ的采样数率。 1.2将一正弦信号与高斯噪声相加后观察输出波形及其频谱。由小到大改变高斯噪声的功率,重新观察输出波形及其频谱。 画图过程: (1)设置系统定时,单击按钮,设置采样率100Hz,采样点数128; (2)定义一个幅度为1V,频率为100Hz正弦信号源和一个高斯噪声; (3)拖出加法器及接收图符; (4)连线; (5)运行并分析单击按钮和; (6)在分析窗口下单击进入频谱分析窗口,再单击点OK分析频谱。 仿真电路图:

SystemView系统课程设计

目录 1 SystemView简单介绍及其操作简介 (1) 1.1 SystemView的基本特点 (1) 1.2 SystemView系统视图 (1) 1.2.1 主菜单功能图 (1) 1.2.2 快捷功能按钮 (4) 1.2.3 图符库选择按钮 (4) 2抽样定理的仿真电路的设计与分析 (5) 2.1 抽样定理的实验目的 (5) 2.2 抽样定理的实验内容 (5) 2.3 低通信号采样与恢复原理图 (5) 2.4 SystemView仿真系统原理图 (5) 2.5实验步骤 (6) 2.6 实验结果 (6) 3 数字基带信号传输 (9) 3.1数字基带信号传输的实验目的 (9) 3.2数字基带信号传输的实验内容 (9) 3.3 基带信号传输系统模型 (9) 3.4 数字基带信号传播 (10) 3.5 实验步骤 (10) 3.6试验结果 (10) 3.7 实验总结 (11) 参考文献 (12) 4. 心得体会 (13)

1 SystemView 简单介绍及其操作简介 1.1 SystemView 的基本特点 SystemView 基本属于一个系统级工具平台,可进行包括数字信号处理(DSP )系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token )库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView 的库资源十分丰富,主要包括:含若干图符库的主库(Main Library )、通信库(Communications Library )、信号处理库(DSP Library )、逻辑库(Logic Library )、射频/模拟库(RF Analog Library )和用户代码库(User Code Library )。 1.2 SystemView 系统视图 1. 2.1 主菜单功能图 进入SystemView 后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File )、编辑(Edit )、参数优选(Preferences )、视窗观察(View )、便笺(NotePads )、连接(Connetions )、编译器(Compiler )、系统(System )、图符块(Tokens )、工具(Tools )和帮助(Help )共11项功能菜单。与最初的SystemView 1.8相比, 图1-2-1 系统视窗

SystemView图符库的使用

SystemView图符库的使用 一、实验目的 1、了解SystemView图符库的分类; 2、掌握SystemView各个功能库常用图符的功能及其使用方法。 二、实验内容 按照实例使用图符构建简单的通信系统,并了解每个图符的功能。 三、实验原理 SystemVue的图符库功能十分丰富,一共分为以下几个大类 1.基本库 SystemView的基本库包括信源库、算子库、函数库、信号接收器库等,它为该系统仿真提供了最基本的工具。 (信源库):SystemView为我们提供了16种信号源,可以用它来产生任意信号 (算子库)功能强大的算子库多达31种算子,可以满足您所有运算的要求 (函数库)32种函数尽显函数库的强大库容! (信号接收器库)12种信号接收方式任你挑选,要做任何分析都难不倒它2.扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。它允许通信、DSP、射频/模拟和逻辑应用。 (通信库):包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信道模型一应俱全。 (DSP库):DSP库能够在你将要运行DSP芯片上仿真DSP系统。该库支持大多DSP芯片的算法模式。例如乘法器、加法器、除法器和反相器的图标代表真正的DSP算法操作符。还包括高级处理工具:混合的Radix FFT、FIR和IIR滤波器以及块传输等。 (逻辑运算库):逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件功能图标及用户自己的图标等。

(射频/模拟库):射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配器等。 3. 扩展用户库 扩展的用户库包括有扩展通信库2、IS95/CDMA 、数字视频广播DVB 等。 通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA 所有信道模型,可按两种速率工作。 四、实验步骤 第一部分:计算信号的平方 2) 从基本图符库中选择信号源图符,选择正弦波信号,参数设定中设置幅度为1,频率为10Hz ,相位为0。 3) 选择函数库,并选择Algebraic 标签下的 图符。在参数设定中设置a=2, 表示进行x 2运算。 4) 放置两个接收器图符,分别接收信号源图符的输出和函数算术运算的输出,并选择Graphic 标签下的图符,表示在系统运行结束后才显示接收到的波形。 5) 将图符进行连接,运行仿真,最终结果如下图所示:

system view实验报告

专业系列实验报告 姓名:董吉明 学号:0812040113

班级:通信①班 目录 第一章绪论 (1) SystemViewf仿真软件熟悉 (3) 第二章实验一 (4) 1.高通滤波器定义 (4) 2. 低通滤波器定义 (4) 3. 带通滤波器定义 (4) 4. 带阻滤波器定义 (4) 第三章实验二 (7) 一.数字调制概述 (7) 二.ASK移幅键控用SystemViewf仿真设计 (8) 1.ASK移幅键控定义 (9) 2.2ASK信号的产生 (9) 3. 2ASK信号解调的常用方法 (10) 三.2.2DPSK移相键控用SystemViewf仿真设计 (13) 1.PSK移相键控用定义 (13) 2.PSK分类 (13) 3.2DPSK介绍 (14) 4.2DPSK解调方法 (15) 5.2DPSK实验结果分析 (20) 第四章结语 (21)

第一章绪论 SystemViewf仿真软件熟悉 电子技术实验教学是教学改革中最活跃的领域之一。传统的电子技术实验教学基本上是纯硬件的。然而,近年来,随着EDA(Electronic Design Automation,电子设计自动化)技术的发展,引入了软件仪器与软件器件,由此而产生了一系列电子实验和设计方法的改变。构成了由计算机软件组成的虚拟仪器和虚拟器件,改变了传统的电子技术实验教学基础上是纯硬件的实验方法,这样既可以大大降低了电子技术实验教学的实验成本又可以充分发挥同学的想象力和创造力,设计和仿真各种自己想要的电路,以提高同学的设计能力和创造力、因此,软件电子技术实验教学将发挥着越来越重要作用,计算机平台的电子技术仿真设计势在必行。 SystemView是美国Elanix公司研制的一个动态系统设计、仿真和分析的可视化软件,提供了开发电子系统的模拟和数字工具,它主要用于以下几个方面:信号处理、通信和控制系统设计和仿真。本次实验的意图是在硬件实验的基础上再用软件SystemView仿真的方法进行仿真实验,从而加深实验内容的理解和初步掌握SystemView仿真软件的使用。 实验主要内容: 1、掌握SystemView仿真软件的使用 2、用软件设计4种滤波器并仿真

systemview的用法

本章的图符库包括了systemview的所有功能图符,可供读者快速查阅。它是一个高度浓缩了的图符功能表,您可以快速选取或查阅所需的图符功能,而不用频繁的翻阅英文使用说明 书。 基本库 SystemView的基本库包括信源库、算子库、函数库、信号接收器库等,它为该系统仿真提 供了最基本的工具。 SystemView为我们提供了16种信号源,可以用它来产生任意信号 功能强大的算子库多达31种算子,可以满足您所有运算的要求 32种函数尽显函数库的强大库容! 12种信号接收方式任你挑选,要做任何分析都难不倒它 扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。它允许通信、DSP、射 频/模拟和逻辑应用。 包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。 这些模块从纠错编码、调制解调、到各种信道模型一应俱全。 DSP库能够在你将要运行DSP芯片上仿真DSP系统。该库支持大多DSP芯片的算法模式。例如乘法器、加法器、除法器和反相器的图标代表真正的DSP算法操作符。还包括高级处理工具:混合的Radix FFT、FIR和IIR滤波器以及块传输等。 逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件 功能图标及用户自己的图标等。

射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配 器等。 扩展用户库 扩展的用户库包括有Elanix公司自己提供的扩展通信库2、IS95/CDMA、数字视频广播DVB。另外其合作伙伴Entegra公司也提供了自适应滤波器库(https://www.doczj.com/doc/c817453285.html,)。 有能力的读者也可自己用C/C++语言自编所需的库,后加入即可。 通信库2:扩展的通信库2主要对原来的通信库加了时分复用、OFDM调制解调、QAM 编码与调制解调、卷积码收缩编解码、GOLD码以及各种衰落信道等功能。4.5版中,通信 库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA所有信道 模型,可按两种速率工作。 数字视频广播库(DVB) 与其他仿真软件联合设计 MATLAB SIMULINK XILINX FPGA CoreGenerator 信号源库 扫频信号(Freq sweep) 参数: 1.幅度2.起始频率fstart 3.停止频率fstop 4.扫描频率(秒) 5.相位 功能: 输出扫频正弦信号:y(t)=Asin(2PIfstart+PI×R(tmod(T))2+*) R=(fstop-fstart)/T

基于SystemView的2PSK仿真实现综述

JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 通信原理课程设计报告 课程设计题目:基于SystemView的2PSK仿真实现 班级: 学号: 姓名: 指导教师姓名:钱志文任艳玲 设计地点:60#507 2015年

序言 (3) 第1章SystemView 软件介绍 (4) 1.1 SystemView介绍 (4) 第2章2PSK系统工作原理 (5) 2.1 工作原理 (5) 2.2 2PSK的解调原理 (6) 第3章基于SystemView的2PSK仿真实现 (7) 3.1仿真方案原理 (7) 3.2仿真框图及介绍 (8) 3.3 仿真结果及其分析 (8) 参考文献 (11) 体会与建议 (12) 附录 (13)

本次课程设计的课题是基于SystemView的2PSK系统仿真设计,要求为输入双极性码元速率为11B,载波频率为110Hz,观察输入序列、PSK信号、带通输出、低通输出和解调输出的波形是否正确和特点,并画出各点波形。 2PSK是二进制相移键控。2PSK是相移键控的最简单的一种形式,它用两个初相相隔为180的载波来传递二进制信息。 在波形图中,假设相干载波的基准相位与2PSK信号的调制载波的基准相位一致(通常默认为0相位)。但是,由于在2PSK信号的载波恢复过程中存在着的相位模糊,即恢复的本地载波与所需的相干载波可能同相,也可能反相,这种相位关系的不确定性将会造成解调出的数字基带信号与发送的数字基带信号正好相反,即“1”变为“0”,“0”变为“1”,判决器输出数字信号全部出错。这种现象称为2PSK 方式的“倒π”现象或“反相工作”。这也是2PSK方式在实际中很少采用的主要原因。另外,在随机信号码元序列中,信号波形有可能出现长时间连续的正弦波形,致使在接收端无法辨认信号码元的起止时刻。 2PSK信号的解调方法是相干解调法。由于PSK信号本身就是利用相位传递信息的,所以在接收端必须利用信号的相位信息来解调信号。进行抽样判决。判决器是按极性来判决的,抽样判决器设置门限值为0,大于0时输出码元“1”,小于0时输出码元“0”。

systemview实验指导书

第2部分 SystemView在通信仿真系统中的应用 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前已推出了3.0版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 2.1 SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code Library)。

2.2 SystemView 系统视窗 2.2.1 主菜单功能 进入SystemView 后,屏幕上首先出现该工具的系统视窗,如图2-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便笺(NotePads)、连接(Connetions)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tools)和帮助(Help)共11项功能菜单。与最初的SystemView1.8相比,SystemView3.0的操作界面和对话框布局有所改变。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem, 图2-2-1 系统视窗

systemview教程

第4章System View调用其它工具 4.1 用户代码库的调用 当用户感觉System View丰富的图标库资源不能完全满足自己的需要时System View提供的支持用户自己定义图标的用户代码库User Code Library功能为系统设计人员提供了更加灵活的设计手段 在System View中调出一个User Code库图标双击它出现如图4.1.1所示的界面 图4.1.1 添加用户自定义图标动态链接库的窗口 单击Add Library…按钮可以选定所需加载的动态链接库文件将其 加载进来单击Remove Library按钮可以删除已加载的动态联接库文件用户安装好System view后在安装目录的Examples\UserCode子目录下有一些已写好例子可以通过Svucode.dll文件加载进来通过它该库中包括的11个图标都可被调用例如选定某个图标SINCOS关于它的简要说明显示在左 下角的说明框中该图标的属性源一般或输出显示在该窗口的右上方任何一个图标都必须是这三种属性之一其输入输出端口的个数参数的个数等也分别显示在框中单击Parameters…按钮就可进入参数设定界面

图4.1.2 参数设定界面 对例子中的正弦余弦图标其参数有两个频率和初相位分别设为10 Hz 和0度单击OK确定就完成了对这个图标的设置下一步只需将这个图 标和其它图标连起来构成整个系统即可在用户代码库中加载了动态链接库文件以后用户代码库中由用户自定义的各图标都可以与System View中本身带有的所有其它图标一样在使用上没有任何区别例如在本例中选择的正弦/ 余弦图标是一个信号源属性的图标适当设置参数后将其直接连到观察窗并设置适当的系统时间参数就可运行并观察其输出 每个动态链接库文件可定义不超过80个函数每个函数代表一个图标因此每加载一个动态链接库文件就相当于增加了最多80个自定义图标其中每个自定义图标可定义最多9个参数和最多分别为20个的输入端口和输出端口 因此用户代码库大大增加了设计的灵活性和可操作性 以C或C++语言编写的源代码通过编译生成32位的Windows动态链接库文件要求可在Windows NT 3.51或Window 95以上版本的操作系统中运行系统支持的一些C或C++编译器如下 Borland C++ for Windows MetaWare High C/C++ Micorsoft Visual C++ Symantec C++ Watcom C/C++ 关于程序源代码的编写用户可以仿照System View中的例子自己编写程序源代码完成所需功能或以这些例子为样本加入自己定义的函数即可需要改写的文件为定义文件*.def头文件*.hpp程序源代码*.cpp和usercode.c

相关主题
文本预览
相关文档 最新文档