当前位置:文档之家› 8位CISC计算机设计

8位CISC计算机设计

8位CISC计算机设计
8位CISC计算机设计

8位CISC计算机设计

班级:09电子信息工程C班

学号:091524****

姓名:***

日期:2012年4月26日

一.实验目的

1.深入理解基本模型计算机的功能、组成知识; 2.深入学习计算机各类典型指令的执行流程;

3.学习微程序控制器的设计过程和相关技术,掌握LPM_ROM 的配置方法。

4.在掌握部件单元电路实验的基础上,进一步将单元电路组成系统,构造一台基本模型计算机。

5.定义五条机器指令,并编写相应的微程序,上机调试,掌握计算机整机概念。掌握微程序的设计方法,学会编写二进制微指令代码表。

6.通过熟悉较完整的计算机的设计,全面了解并掌握微程序控制方式计算机的设计方法。

二.实验原理

1.在部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本实验将能在微过程控制下自动产生各部件单元控制信号,实现特定的功能。实验中,计算机数据通路的控制将由微过程控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。

2.指令格式 (1)指令格式

采用寄存器直接寻址方式,其格式如下:

其中,OP-CODE 为操作码,rs 为源寄存器,rd 为目的寄存器,并规定:

其中IN RAM 中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序。

8位CISC 计算机设计 班级:09电子C 学号:091524**** ***

1,存储器读操作(KRD ):下载实验程序后按总清除按键(CLR )后,控制台SWA 、SWB 为“0 0”时,可对RAM 连续手动读入操作。

2,存储器写操作(KWE ):下载实验程序后按总清除按键(CLR )后,控制台SWA 、SWB 为“0 1”时,可对RAM 连续手动写操作。

3、启动程序(RP ):下载实验程序后按总清除按键(CLR )后,控制台SWA 、SWB 为“1 1”时,即可转入到微地址“01”号“取指令”微指令,启动程序运行。 根据以上要求设计数据通路框图,如图5-1所

示。

表6-1 24位微代码定义:

表6-2 A 、B 、C 各字段功能说明:

24位微代码中各信号的功能

(1) uA5—uA0:微程序控制器的微地址输出信号,是下一条要执行的微指令的微地址。

(2) S3、S2、Sl 、S0:由微程序控制器输出的ALU 操作选择信号,以控制执行16种算术操作或16种逻辑操作中的某一种操作。

(3) M :微程序控制输出的ALU 操作方式选择信号端。M =0执行算术操作;M =l 执行逻辑操作。 (4) Cn :微程序控制器输出的进位标志信号,Cn =0表示ALU 运算时最低位有进位,Cn =1则表示无进位。 (5)WE :微程序控制器输出的RAM 控制信号。当/CE =0时,如WE =0为存储器读;如WE =1为存储器写。 (6) A9、A8——译码后产生CS0、CS1、CS2信号,分别作为SW_B 、RAM 、LED 的选通控制信号。 (7) A 字段(15、14、13)——译码后产生与总线相连接的各单元的输入选通信号(见表6-1)。

(8) B 字段(12、11、10)——译码后产生与总线相连接的各单元的输出选通信号。

(9) C 字段(9、8、7) ——译码后产生分支判断测试信号P(1)~P(4)和LDPC 信号。

系统涉及到的微程序流程见图6-2。当执行“取指令”微指令时,该微指令的判断测试字段为P(1)测试。由于“取指令”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支(见图6-2左图)。用指令寄存器的高4位(IR7-IR4)作为测试条件,出现5路分支,占用5个固定地址单元。

控制台操作为P(4)测试(见图6-2右图),它以控制台信号SWB 、SW A 作为测试条件,出现了3路分支,占用3个固定微地址单元。当分支微地址单元固定后,剩下的其它地方就可以一条微指令占用控制存储器的一个微地址单元,随意填写。注意:微程序流程图上的微地址为8进制!

图6-1 数据通路框图

当全部微程序设计完毕后,应将每条微指令代码化,表6-2即为图6-2的微程序流程图按微指令格式转化而成的“二进制微代码表”。

表6-2 二进制微代码表

中,然后再传送至指令寄存器。指令划分为操作码和地址码段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试“P(1)”,通过节拍脉冲T4的控制,以便识别所要求的操作。

指令译码器: 根据指令中的操作码强置微控制器单元的微地址,使下一条微指令指向相应的微程序首地址。

图6-3 模型计算机电路原理图

说明:1、指令IN为单字节指令,指令码:00,其功能是将输入口IN的数据送到寄存器R0;

2、指令ADD [0AH]为双字节指令,指令码:100A,其功能是将R0中的数据加上RAM地址0AH中的数据(34H);

3、指令STA [0BH]为双字节指令,指令码:200B,其功能是将R0中的数据送到RAM的0BH地址单元中;

4、指令OUT[0BH]为双字节指令,指令码:300B,其功能是将RAM的0BH地址单元中的数据送到OUT输出口上;

5、指令JMP [12H] 为双字节指令,指令码:4012,其功能是将其操作码下一地址单元(08H)的数据作为转跳地址。三.CPU顶层文件

CPU组成部件:时钟发生器;指令寄存器IR;算术逻辑运算单元ALU;数据寄存器;状态控制器;程序计数器PC;地址寄存器AR;微控制器;微指令译码器;微地址寄存器;微程序存储器ROM;地址寄存

器RAM;三态门

四.测试波形

CPU部分波形仿真

程序执行过程如下:

STEP(1):M输入微指令018110,控制台执行P(4),进行“读/写/运行”功能判断

STEP(2):检测到SW A、SWB=11后,进入程序运行RP(11)方式。

STEP(3):进入程序运行的流程,执行微地址为23的微指令018001(十六进制),选通LDAR,PC_B,LDPC,后续微地址uA 为01(八进制)。

STEP(4):执行微地址为01的M微指令01ED82,执行的操作为PC→AR=00H,PC+1=01H→PC,AR指向RAM存储器地址00H,后续微地址uA为02。

STEP(5):执行微地址为02的M微指令00C048,选通RAM,LDIR,P(1),执行取指令操作,将RAM中的指令00通过内部总线Bus,送指令寄存器IR:RAM(00H)=00→BUS→IR=00H。执行IN指令,目的寄存器为R0。

STEP(6):执行微地址为10的M微指令001001,执行的操作SW_B打开,允许输入装置键盘SW的数据送往数据总线BUS;LDRi打开,允许向寄存器写数据,而指令编码为00,其中目标寄存器rd=00指向R0,因此输入数据56H送入寄存器R0,执行结果R0=56H。后续微地址uA为01。

STEP(7):同(4),执行01ED82,取指令操作:PC→AR=01H,PC+1=02H,AR指向RAM存储器地址01H,后续微地址02。STEP(8):执行微地址02的微指令00C048,选通RAM,LDIR,P(1),取指令,经分支判断P(1),读出地址为01H单元的内容10H,经BUS送到指令寄存器IR:RAM(01H)=10H→BUS→IR=10H。

STEP(9):执行微地址为11的微指令01ED83,进入加法运算微程序。选通LDAR,PC_B,LDPC,通过间接寻址获得加法运算的另一个操作数,地址寄存器AR指向取数的间接地址:PC→AR=02H,PC+1=03H,AR指向RAM的02单元。

STEP(10):执行微地址为03的微指令00E004,选通LDAR,RAM,RAM_B为高电平,Ram的(02)单元的内容通过BUS 送AR,取数地址(AR)=0AH,即要从RAM的(0AH)单元取操作数:RAM(02)=0AH→BUS→AR=0AH。

STEP(11):执行微地址为04的微指令00B005,选通LDDR2,RAM,RAM_B仍然为高电平,Ram的(0AH)单元的内容34H送到BUS,此时LDDR2为高电平,BUS上的数据就送给了DR2,执行结果:RAM(0AH)=34H→BUS→DR2=34H。STEP(12):执行微地址为05的微指令01A206,选通LDDR1,RS_B,将R0的数据送DR1。R0_B=1,允许R0的内容56H

送往BUS,此时LDDR1为高电平,BUS上的数据56H写入ALU的数据缓冲器DR1,执行结果:(R0)=56H→BUS→DR1=56H。STEP(13):执行微地址为06的微指令919A01,选择ALU的加法功能,选通LDRi,AR,完成加法运算:(DR1)+(DR2) →R0,56H+34H=8AH→R0=8AH。

STEP(14):同(7),执行01ED82,取指令操作:PC→AR=03H,PC+1=04H,AR指向RAM存储器地址03H,后续微地址02。STEP(15):执行微地址02的微指令00C048,选通RAM,LDIR,P(1),取指令,经分支判断P(1),读出地址为03H单元的内容20H,经BUS送到指令寄存器IR:RAM(03H)=20H→BUS→IR=20H。

STEP(16):执行微地址为12的微指令01ED87,选通LDAR,PC_B,LDPC,进入程序存储微程序。地址寄存器AR指向存数的间接地址:PC→AR=04H,PC+1=05H,AR指向RAM的04单元。

STEP(17):执行微地址为07的微指令00E00D,选通RAM,LDAR,存数地址送AR:RAM(04)=0BH→BUS→AR=0BH。STEP(18):执行微地址为15的微指令038201,选通WE,RS_B,R0的内容存入RAM(0BH)单元:(R0)=8AH→BUS→RAM(0BH)=8AH。

STEP(19):同(14),执行01ED82,取指令操作:PC→AR=05H,PC+1=06H,AR指向RAM存储器地址05H,后续微地址02。

STEP(20):执行微地址02的微指令00C048,选通RAM,LDIR,P(1),取指令,经分支判断P(1),读出地址为05H单元的内容30H,经BUS送到指令寄存器IR:RAM(05H)=30H→BUS→IR=30H。

STEP(21):执行微地址为13的微指令01ED8E,选通LDAR,PC_B,LDPC,地址寄存器AR指向间接寻址取数地址:PC→AR=06H,PC+1=07H。

STEP(22):执行微地址为16的微指令00E00F,选通RAM,LDAR,取数地址送AR:RAM(06)=0BH→BUS→AR=0BH。STEP(23):执行微地址为17的微指令00A015,选通RAM,LDDR1,从RAM中取数送DR1:RAM(0BH)=8AH→BUS→DR1=8AH。

STEP(24):执行微地址为25的微指令010A01,选通LED,ALU_B,DR1的内容送输出单元OUT:DR1=8AH→BUS→OUT=8AH。

STEP(25):同(19),执行01ED82,取指令操作:PC→AR=07H,PC+1=08H,AR指向RAM存储器地址07H,后续微地址02。

STEP(26):执行微地址为02的微指令00C048,选通RAM,LDIR,P(1),取指令,经分支判断P(1),读出地址为07H单元的内容40H,经BUS送到指令寄存器IR:RAM(07H)=40H→BUS→IR=40H。

STEP(27):执行微地址为14的微指令01ED96,选通LDAR,PC_B,LDPC,地址寄存器AR指向间接寻址转移地址:PC→AR=08H,PC+1=09H。

STEP(28):执行微地址为26的微指令00D181,选通RAM,LOAD,LDPC,转移地址送PC,转到00H:RAM(08H)=00→BUS →PC=00H。

STEP(29):执行微地址为01的微指令01ED82,执行第一条指令——程序循环:PC→AR=00H,PC+1=01H

STEP(30):执行微地址为10的微指令00C048,取指令

一个周期循环程序的全部仿真波形

五.实验总结与心得

通过这次做实验,熟练地掌握了quartus的使用方法,能够很熟练的进行实验了。各模块通过调用系统自带模块及部分用VHDL语言根据参考书的讲解和相关资料编写,编译过程中出现过很多错误,经过不断改正,最后终于完成了各模块的设计,然后通过仿真测试,基本没有出现什么问题。

做这次实验,在用VHDL写出算术逻辑单元ALU之外,还了解了一些verilogHDL的基本语法知识,这对于以后学习该语言有一定的作用。

做这个实验的时候,出现的问题少,对软件的使用也比较熟练了,这验证了孰能生巧这一事实,以后还要多多的联系,实际操作,做一些课题。

通过这次实验,对CPU的工作原理有了深入的了解,也知道了机器码的实现方法,对自己的学习有很

大的帮助。

计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计

计算机硬件综合课程设计报告

简单模型机设计 一、设计要求 硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。 软件:CMP软件 二、设计目的 1.通过对一个简单计算机的设计,对计算机的基 本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 2.通过这次课程设计,建立整机的概念,对程序 进行编辑,校验,锻炼理论联系实际的能力。 3.通过本次课程设计熟悉和训练设计思路与实 现方法。 4.通过本次课程设计锻炼团队合作的能力和团 队问题的解决。

三、设计电路及连线 设计电路及连线实验图如下图1-1所示。 图1-1 简单模型机连线图 四、设计说明 本次课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器

来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本次课程设计采用五条机器指令:IN (输入)、ADD (二进制加法)、STA (存数)、OUT (输出)、JMP (无条件转移),其指令格式如下(前4位为操作码): 助记符 机器指令码 说 明 微程序入口地址 IN 0000 0000 “INPUT DEVICE ”中 10 的开关状态→R0 0001 0000 ×××× ×××× R0+[addr]→R0 11 0010 0000 ×××× ×××× R0→[addr] 12 0011 0000 ×××× ×××× [addr]→BUS 13 0100 0000 ×××× ×××× addr →PC 14 ADD addr STA

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

实验一 八位全加器的设计

电子科技大学电子工程学院标准实验报告(实验)课程名称EDA技术与应用 姓名:孙远 学号:2010021030002 指导教师:窦衡 电子科技大学教务处制表

实验一八位全加器的设计 一、预习内容 1.结合教材中的介绍熟悉QuartusⅡ软件的使用及设计流程; 2.八位全加器设计原理。 二、实验目的 1.掌握图形设计方法; 2.熟悉QuartusⅡ软件的使用及设计流程; 3.掌握全加器原理,能进行多位加法器的设计。 三、实验器材 PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干 四、实验要求 1、用VHDL设计一个四位并行全加器; 2、用图形方式构成一个八位全加器的顶层文件; 3、完成八位全加器的时序仿真。 五、实验原理与内容 1、原理: 加法器是数字系统中的基本逻辑器件。例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面的问题。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。 实验表明,4 位二进制并行加法器和串行级联加法器占用几乎相同的资源。这样,多位数加法器由4 位二进制并行加法器级联构成是较好的折中选择。因此本实验中的8 位加法器采用两个4位二进制并行加法器级联而成。

2、实现框图: 1)四位加法器 四位加法器可以采用四个一位全加器级连成串行进位加法器,实现框图如下图所示,其中CSA为一位全加器。显然,对于这种方式,因高位运算必须要等低位进位来到后才能进行,因此它的延迟非常可观,高速运算肯定无法胜任。 通过对串行进位加法器研究可得:运算的延迟是由于进位的延迟。因此,减小进位的延迟对提高运算速度非常有效。下图是减少了进位延迟的一种实现方法。可见,将迭代关系去掉,则各位彼此独立,进位传播不复存在。因此,总的延迟是两级门的延迟,其高速也就自不待言。 2)八位加法器 用两个并行四位加法器实现一个八位加法器的框图如下:

模型计算机系统的设计与实现

题目:模型计算机系统的设计与实现学生姓名: 学院: 班级: 指导教师: 2010年1 月8 日

内蒙古工业大学课程设计(论文)任务书 课程名称:计算机组成与结构课程设计学院:信息工程学院班级:计07-_3班__ 学生姓名:武宝全 _ 学号: 200710210023 指导教师:董志学王晓荣邢红梅

摘要 本次课程设计要求设计实现一个简单8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。通过自己定义的一套指令系统,主要实现算术A加B,A+/B运算,逻辑A·B,置B运算,输入指令,输出指令和存储器存数指令。由微程序控制器按照微指令格式给出下地址,并将结果存入存储器。用Protel电路设计软件画出所设计的模型机系统的电路原理图,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路。用可编程器件EPM7123实现运算器,并借助MAXPLUSII软件实现其功能。在QDKJ-CMH-CPLD试验平台上调试并进行验证。 关键字:微程序、控制器、存储器、

引言 通过俩周的组成与结构设计,设计一个8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。设计工作是在之前的验证实验基础之上完成的,通过自己的思维,实现微程序机的一些基本的逻辑运算。根据现有的二进制指令系统,条件为模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯。在现有的芯片内烧制自行设计的微指令,达到在输入一个数据后自加,减一,实现自行跳转。 在设计完成后,再输入数据04后得出07的结果,并实现跳转。

8位模型机课程设计

xxxxx 课程设计报告学院机电信息学院 课程课程设计 专业计算机科学与技术班级xxxxx 姓名xxxxxxx x 学号xxxxxxxxxx 指导教师xxxxxx 日期201x年x月x日

目录 1.概述 0 2.总体设计 0 3.详细设计 (2) 3.1 运算器 (2) 3.2 存储器 (3) 3.3 微控制器 (5) 3.4 基本模型机设计与实现 (8) 4. 总结 (10) 参考文献 (11)

8位模型机的设计与仿真 1.概述 在掌握部件单元电路设计与仿真的基础上,进一步将其组成系统构造一台8位模型机。字长是8位纯整型,包含基本的五大件:运算器、存储器、控制器、I/O设备。它的结构框图如下图1-1所示. 这基本的五大件通过数据总线连接,实现数据的处理和控制。 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而综合实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 2.总体设计 模型机主要由运算器、控制器、存储器、数据总线、输入输出和时序产生器组成,模型机的结构图如图2-1所示。 图2-1 模型机结构图 在图2-1中T1、T2、T3和T4等控制信号都是由时序产生器生产,时序产生器由时序电路实现如图2-2所示,时序产生器一个周期中产生四个脉冲信号T1~T4,这四个脉冲信号用于控制组件的执行顺序,组件在这些信号的控制下有序的执行,一个周期中完成一条微指令的执行。 图2-2 时序产生器

8位全加器的设计

课程设计报告 课程名称数字逻辑课程设计 课题8位全加器的设计 专业计算机科学与技术 班级1202 学号34 姓名贺义君 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题8位全加器的设计 专业班级计算机科学与技术1202 学生姓名贺义君 学号34 指导老师刘洞波陈淑红陈多审批刘洞波 任务书下达日期:2013年12月13日 任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1 EDA技术与VHDL程 序开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22 416-7 201 TP312VH/ 36 2 VHDL电路设计雷伏容清华大学出版 社 7-302-14226-2 2006 TN702/185 3 VHDL电路设计技术王道宪贺名臣? 刘伟 国防工业出版 社 7-118-03352-9 2004 TN702/62 4 VHDL 实用技术潘松,王国栋7-8106 5 7-81065-290-7 2000 TP312VH/1 5 VHDL语言100 例详解 北京理工大学A SIC研究所 7-900625 7-900625-02-X 19 99 TP312VH/3 6 VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9 20 00 7 3.9621/W38V 7 VHDL程序设计教程邢建平?曾繁泰清华大学出版 社 7-302-11652-0 200 5 TP312VH/27 /3

模型计算机的设计论文讲解

目录 1模型机设计方案及步骤 (2) 1.1设计方案 (2) 2指令格式 (4) 2.1指令格式 (4) 2.2指令集 (5) 3数据通路 (6) 4指令操作流程 (6) 5微操作控制信号的逻辑表达式 (14) 6微信号简化 (18) 7微信号电路图 (18) 8模型机微控制信号连线图 (19) 9总结与体会 (20) 10附录 (21)

模型计算机的设计 1模型机设计方案及步骤 1.1设计方案 本次课程设计主要任务是设计一模型计算机并利用组合逻辑控制器或微程序进行设计,且至少实现4条CPU指令(从ADD,SUB,XOR,AND,OR中选择)。 在此我选择使用组合逻辑控制器(硬连线)方式设计,并实现ADD,SUB,AND,OR四条指令。 四条指令意义如下: ADD数学计算两个数的和 SUB数学计算两个数的差 AND逻辑运算两个数的并 OR逻辑运算两个数的或 对于以上设计的理由如下: 1.对于方式的选取我们选取硬连线实现,因为此次我们设计的模

型机较为简单,而且采用元件较少,我们采用硬连线可以使用最少的元件从而取得较高的操作速度。 2.对于字长的选取,多方面考虑后,基于资源和设计的需要可以采用八位字长。由于共有四条指令,为了满足选取所以选取其中2位作为OP操作,由于在对数的操作中不仅涉及到对数据的存储还会牵连到指令的操作,在此指令的操作有四条指令所以必须有四个储存单元,加上存储数据的一个单元,一共需要五个单元,再由于计算机存储字长值的限制,选不出5个存储单元的字长。所以至少为3位,其中给出的是8个存储单元,对于寄存器,只有一个数据需要存储,所以1位就行了,但是考虑到计算机存储的限制,所以我们可以把后3位扩展给它。所以共有8位。其中3位表示内存单元地址,用3位表示寄存器编号。加上两个必须的寄存器,其中寄存器有R0、R1、PC、IR。 3.对于内存的选取我们在实际过程中买不到这么小的内存,所以实际操作中可以将前几位置零(接地),用后几位表示即可。 最终根据以上理由我们规定它有8位的数据线D[7:0],执行4条指令,每条指令的长度为1byte,因而它有6位的地址线A[5:0] 。它可以存取的存储器为64byte(1byte=8bits) 我们为该CPU定义以下寄存器:

8位CISC计算机设计

8位CISC计算机设计 班级:09电子信息工程C班 学号:091524**** 姓名:*** 日期:2012年4月26日

一.实验目的 1.深入理解基本模型计算机的功能、组成知识; 2.深入学习计算机各类典型指令的执行流程; 3.学习微程序控制器的设计过程和相关技术,掌握LPM_RO M的配置方法。 4.在掌握部件单元电路实验的基础上,进一步将单元电路组成系统,构造一台基本模型计算机。 5.定义五条机器指令,并编写相应的微程序,上机调试,掌握计算机整机概念。掌握微程序的设计方法,学会编写二进制微指令代码表。 6.通过熟悉较完整的计算机的设计,全面了解并掌握微程序控制方式计算机的设计方法。 二.实验原理 1.在部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本实验将能在微过程控制下自动产生各部件单元控制信号,实现特定的功能。实验中,计算机数据通路的控制将由微过程控制器来完成,C PU 从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 2.指令格式 (1)指令格式 采用寄存器直接寻址方式,其格式如下: 其中,OP-CODE 为操作码,r s为源寄存器,rd 为目的寄存器,并规定: 其中IN 为单字长(8位二进制),其余为双字长指令,XX H 为addr 对应的十六进制地址码。为了向R AM 中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序。

8位CISC 计算机设计 1,存储器读操作(KRD):下载实验程序后按总清除按键(CLR)后,控制台S WA 、SWB 为“0 0”时,可对RAM 连续手动读入操作。 2,存储器写操作(KWE):下载实验程序后按总清除按键(CLR )后,控制台SW A 、SWB 为“0 1”时,可对R AM 连续手动写操作。 3、启动程序(RP):下载实验程序后按总清除按键(C LR)后,控制台SWA 、S WB 为“1 1”时,即可转入到微地址“01”号“取指令”微指令,启动程序运行。 根据以上 要求设计 数据通路 框图,如 图5-1所 示。 表6-1 24位微代码定义: 24 23 22 21 2 14 13 12 11 10 987 6 5 4 3 2 1 S3 S2 S 1 S0 M Cn WE A9 A8 A B C uA5 u A4 uA 3 uA2 uA 1 uA 0 表6-2 A 、B 、C各字段功能说明:A 字段 B 字段 C字段 15 14 13 选择 12 11 10 选择 9 8 7 选择 0 0 0 0 0 0 0 0 0 0 0 1 LDRi 0 0 1 RS-B 0 0 1 P(1) 0 1 0 L DDR1 0 1 0 0 1 0 0 1 1 LDD R 2 0 1 1 0 1 1 1 0 0 L DIR 1 0 0 1 0 0 P(4) 1 0 1 LOA D 1 0 1 ALU-B 1 0 1 LD AR 1 1 0 LDAR 1 1 0 P C-B 1 1 0 LDPC 24位微代码中各信号的功能 (1) uA5—uA0:微程序控制器的微地址输出信号,是下一条要执行的微指令的微地址。 (2) S 3、S2、Sl、S0:由微程序控制器输出的ALU 操作选择信号,以控制执行16种算术操作或16种逻辑操作中的某一种操作。 (3) M :微程序控制输出的ALU 操作方式选择信号端。M=0执行算术操作;M=l 执行逻辑操作。 (4) Cn :微程序控制器输出的进位标志信号,C n=0表示A LU 运算时最低位有进位,Cn=1则表示无进位。 (5)WE :微程序控制器输出的RAM 控制信号。当/C E=0时,如WE=0为存储器读;如WE =1为存储器写。 (6) A9、A8——译码后产生CS0、CS1、CS2信号,分别作为SW_B 、RAM 、LE D的选通控制信号。 (7) A 字段(15、14、13)——译码后产生与总线相连接的各单元的输入选通信号(见表6-1)。 (8) B字段(12、11、10)——译码后产生与总线相连接的各单元的输出选通信号。 (9) C 字段(9、8、7) ——译码后产生分支判断测试信号P (1)~P(4)和LD PC信号。 系统涉及到的微程序流程见图6-2。当执行“取指令”微指令时,该微指令的判断测试字段为P (1)测试。由于“取指令”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支(见图6-2左图)。用指令寄存器的高4位(IR7-IR4)作为测试条件,出现5路分支,占用5个固定地址单元。 控制台操作为P(4)测试(见图6-2右图),它以控制台信号SW B、S WA 作为测试条件,出现了3路分支,占用3个固定微地址单元。当分支微地址单元固定后,剩下的其它地方就可以一条微指令占用控制存储器的一个微地址单元,随意填 SWB SWA 控制台指令 0 0 1 0 1 1 读内存(KRD) 写内存(K WE ) 启动程序(RP ) 图6-1 数据通路框图

实验一1位二进制全加器的设计

龙岩学院实验报告 班级学号姓名同组人 实验日期室温大气压成绩 实验题目:基于原理图输入法的1位二进制全加器的设计 一、实验目的 1、学习、掌握QuartusⅡ开发平台的基本使用。 2、学习基于原理图输入设计法设计数字电路的方法,能用原理图输入设计法 设计1位二进制半加器、1位二进制全加器。 3、学习EDA-V型实验系统的基本使用方法。 二、实验仪器 装有QuartusⅡ软件的计算机一台、EDA系统实验箱、导线若干 三、实验原理 半加器只考虑两个1位二进制数相加,而不考虑低位进位数相加。半加器的逻辑函数 为 式中A和B是两个相加的二进制数,S是半加和,C是向高位的进位数。表1为半加器真值表。 表1 A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 显然,异或门具有半加器求和的功能,与门具有进位功能。 其逻辑图跟逻辑符号如下图:

全加器除了两个1位二进制数相加以外,还与低位向本位的进位数相加。表2为全加器的真值表。 表2 A i B i C I-1 C i S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 由真值表可得出逻辑函数式 式中,A i 和B i 是两个相加的1为二进制数,C i-1 是由相邻低位送来的进位数, S I 是本位的全加和,C I 是向相邻高位送出的进位数。其逻辑图跟逻辑符号如下图所示: 四、实验内容 1、根据1位二进制半加器、1位二进制全加器的真值表,设计并画出1位二进制半加器的原理框图,由半加器及门电路设计并画出1位二进制全加器的原理框图(最终设计的是1位二进制全加器)。

模型计算机设计方案的制定

一、设计任务与要求: 任务: 1.设计一个8位模型计算机系统,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路; 2.画出系统组成框图,指出各个部分的功能和实现途径; 3.针对所设计的模型机系统,每组学生自己定义一套简单的指令系统,给出助记符指令格式,分配指令的机器代码,指出指令的功能; 4.写出设计方案。 要求: 1.所设计的模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯; 2.每组定义的指令系统为4至8条指令,必须包含本组运算器特有运算功能的指令,每组必须有两条以上指令与其他组不同,经老师审核后确定; 3.每组制定一份设计方案。 二、系统组成框图及各部分的功能和实现途径: (一)、系统的总体结构框图: 图1 总体结构框图 (二)各个部分的功能和实现途径: 1、收发器74LS245 8一位 无反相输出 三态输入

2.、D型触发器74LS273 8一位 清零输入 Q0=在时钟脉冲上升沿之前QT的输出3、算数逻辑单元功能发生器74LS181 4一位 16一功能 逐位进位输出

4.、2K CMOS静态随机存贮器RAM(2048×8)6116 5、D型触发器74LS374 8一位 透明的 无反相 3一态输出 6、累加器74LS163 4一位二器异步清零输入 上计数器可计数输入 置位输入行波进位输出 异步计数

三、简单指令系统: (1)IN A,DATA。指令码10,A指R0,DATA指SW7-SW0上的数据输入到R0寄存器。是输入指令。(2)ADD A,B。指令码20 ,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相加,结果送R0,是加法指令。 (3)SUB A,B。指令码30,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相减,结果送R0,是减法指令。 (4) OPP A,(OPP)。指令码40 OPP,A指R0,OPP为贮存器地址。将R0寄存器的内容与内存中以OPP为地址单元内的数相乘,其中这个数为-1,目的是求相反数,是求相反数指令。 (5)MUL A,B。指令码50,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相乘,结果送R0,是乘法指令。 (6)STA(STA),A。指令码60 STA,A指R0,STA为存贮器地址。将R0寄存器的内容存到以STA 为地址的内存单元中。 (7)OUT BUS,(STA)。指令码70(STA),BUS为数据总线,STA为存贮器地址。将内存中以STA 为地址的数据读到总线上。 (8)JMP OPP。指令码80 。OPP指存贮器地址。程序无条件地转移到OPP(可以是存贮器中不同的地址)所指定的内存单元地址。 其中实现求相反数指令后,可以根据求出的相反数实现减法运算;将求相反数指令中的(OPP)换做寄存器R1加以修改可以实现乘法运算。本次实验的主要目的是实现两个数的相加以及实现求一个数的相反数。 (注:文件素材和资料部分来自网络,供参考。请预览后才下载,期待你的好评与关注。)

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

一位全加器电路版图设计-11页精选文档

目录 1 绪论 (1) 1.1 设计背景 (1) 1.2 设计目标 (1) 2一位全加器电路原理图编辑 (2) 2.1 一位全加器电路结构 (2) 2.2 一位全加器电路仿真分析波形 (2) 2.3 一位全加器电路的版图绘制 (3) 2.4一位全加器版图电路仿真并分析波形 (3) 2.5 LVS检查匹配 (3) 总结 (4) 参考文献 (4) 附录一:电路原理图网表 (5) 附录二:版图网表 (6)

1 绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。早期的集成电路版图编辑器L-Edit在国内已具有很高的知名度。Tanner EDA Tools 也是在L-Edit的基础上建立起来的。整个设计工具总体上可以归纳为电路设计级和版图设计级两大部分,即以S-Edit为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。Tanner软件包括S-Edit,T-Spice, L-Edit与LVS[1]。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2 设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑一位全加器电路原理图 2.用tanner软件中的TSpice对一位全加器的电路进行仿真并分析波形 3.用tanner软件中的版图编辑器L-Edit进行一位全加器电路的版图绘制,并进行DRC验证 4.用tanner软件中的TSpice对一位全加器的版图进行仿真并分析波形 5.用tanner软件的layout-Edit中的lvs功能对一位全加器进行LVS检验观察原理图与版图的匹配程度

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

8位全加器设计

基于原理图的8位全加器设计 实验目的:熟悉利用Quartus II的原理图输入方法设计简单的组合电路,掌握层次化设 计的方法,并通过一个8位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。 实验原理:一个8位全加器可以由8个1位全加器串行构成,即将低位加法器的进位输 出cout与相临的高位加法器的最低位输入信号cin相接。 试验任务:1.完成半加器和全加器的设计。 2.建立一个更高层次的原理图设计,利用以上获得的1位全加器构成8位全加器,完成编译、综合、适配、仿真和硬件测试。 实验步骤: 一、1位全加器设计 1.建立工程文件夹adder,路径d:\adder。 2.输入设计项目和存盘 原理图编辑输入流程如下: (1)打开Quartus II,选择file—>new命令,在弹出的窗口中选择block diagram/schematic file 选项,单击ok按钮后将打开原理图编辑窗口。 (2)在编辑窗口中的任何一个位置上右击,将弹出快捷菜单,选择inset—>symbol命令,将弹出元件输入对话框。 (3)单击“…”按钮,找到基本元件库路径d:/altera/90/quartus/libraries/primitives/logic项(假设软件安装在D盘),选中需要的元件,单击“打开”按钮,此元件即显示在窗口中,然后单击symbol窗口中的ok按钮,即可将元件调入原理图编辑窗口中。也可以在name栏输入需要的元件名。调入好元件和引脚后,连接好电路,再输入各引脚名。 (4)选择file—>save as命令,选择刚才为自己的工程建立的目录d:\adder,将已设计好的原理图取名为h_adder.bdf,并存盘此文件夹内。 3.将设计好的项目设置成可调用的元件 为了构成全加器的顶层设计,必须将以上设计的半加器h_adder.bdf设置成可调用的元件。在打开半加器原理图文件的情况下,选择file—>create/update—>create symbol file for current file命令,即可将当前文件h_adder.bdf变成一个元件符号存盘,以待高层次设计中调用。4.设计全加器顶层文件 打开一个原理图编辑窗口,方法同前。在新打开的原理图窗口中双击,在弹出的窗口中选择project选项,选择h_adder.bdf,并调入其他元件,连接好电路。以f_adder.bdf名存在同一路径d:\adder中。 二、8位全加器设计 1.将刚设计好的1位全加器设置成可调用的元件,方法同上。 2.调入元件,连接电路图,以8f_adder.bdf保存于同一路径d:\adder中的文件夹中。 3.将顶层文件8f_adder.bdf设置为工程。 4.编译与仿真 原理图与仿真波形分析:

8位模型计算机的设计

石家庄经济学院 华信学院 计算机组成原理课程设计报告 题目 8位模型计算机的设计 姓名 学号 班号 4064170801 指导老师关文革尹立洁赵洋 成绩 2009年1月 目录 1. 课程设计目的 52 2. 开发工具选择 52 3. 方案选择 52 4.指令系统设计 52 5. 模型机框图设计 52 6. 指令流程图 52 7. 指令操作时间表(组合逻辑控制器)或者微指令格式(微程序控制器)设计 52 8. 微操作信号综合与优化(组合逻辑控制器)或者微程序(微程序控制器)设计 52 9. VHDL实现 52 10. 调试仿真 52 11. 课程设计回顾总结 52 参考文献 52 附录 52 一、课程设计目的 1、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。

2、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 二、开发工具选择 以TEC-CA教学实验系统为平台,采用硬件描述语言 VHDL为设计工具,应用QUARTUSⅡ5.1环境进行大规模集成电路的功能设计仿真。 三、方案选择 应用微程序控制器来实现8位模型计算机的设计。 四、指令系统设计 所要设计的微程序控制器是由七条指令来完成的,即:load,sta,add,sub,and1,nop,jmp。实现功能分别如下:load指令是公操作,实现取数据的功能;sta指令实现存操作;add指令实现加法操作;sub指令实现减法操作;and指令实现与操作; nop指令实现空操作;jmp指令实现无条件跳转操作。 其中add,sub,and1,属于双操作数指令,其指令格式如下图: 目的 源 其中jmp属于转移指令,其指令格式如下图: 转移条件 转移地址 五、模型机框图设计 I/O 六、指令流程图 Addr_bus load_MAR CS R_NW 3 OP

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

用门电路设计一位的全加器

实验二组合逻辑设计 一、实验目的 1、掌握组合电路设计的具体步骤和方法; 2、巩固门电路的运用和电路搭建能力; 3、掌握功能表的建立与运用; 4、为体验MSI(中规模集成电路)打基础。 二、实验使用的器件和设备 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 TDS-4数字系统综合实验平台1台 三、实验内容 1.测试四2输入异或门74LS86 一个异或门的输入和输出之间的逻辑关系。 2.测试四2输人与非门74LS00一个与非门的输入和输出之间的逻辑关系。 3.等价变换Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4.画出变换后的原理图和接线图。 四、实验过程 1、选择实验题目,分析逻辑功能 用门电路设计一位的全加器 一位全加器:在进行两个数的加法运算时不仅要考虑被加数和加数而且要考虑前一位(低位)向本位的进位的一种逻辑器件。 2、根据逻辑功能写出真值表; 3、根据真值表写出逻辑函数表达式; Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4、利用卡诺图法或布尔代数法对逻辑函数表达式进 行化简; 不需化简 Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 5、将化简的逻辑表达式等价变换,统计出实验所需芯片;

Si=Ai○十Bi○十Ci-1 所需芯片: 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 6、根据各芯片的引脚图,测试所有需用芯片的功能,画出各芯片的功能表; VCC VCC 74LS86接线图 74LS00接线图 74LS 86芯片测试结果74LS00 芯片测试结果

相关主题
文本预览
相关文档 最新文档