当前位置:文档之家› 半导体存储器教案

半导体存储器教案

第4章半导体存储器

存贮器(Memory)是计算机的重要组成部件,用来存放程序和数据。本章首先介绍半导体存贮器的分类、组成及主要性能指标;然后介绍CPU运行过程中能随时进行数据读写的随机存储器(RAM),包括静态随机存储器(SRAM)和动态随机存储器(DRAM);接着介绍只读存储器(ROM),包括掩膜ROM和可编程ROM;最后介绍存储器与CPU的接口技术。

4.1 概述

存储器是用以存放信息的部件,其职能是存放用二进制数表示的程序和数据,有了它,计算机才能“记住”信息,并按程序的规定自动运行。

存储器是具有记忆功能的部件,它是由大量的记忆单元(或称基本存储电路)组成,而记忆单元是用一种具有两种稳定状态的物理器件来表示二进制数的0和1,这种物理器件可以是磁芯、半导体器件等。位(bit)是二进制数最基本的单位,一个记忆单元能存储二进制数的一位。

随着CPU速度的不断提高和软件规模的不断扩大,人们当然希望存储器能同时满足速度快、容量大、价格低的要求。但实际上这一点很难办到,解决这一问题的较好方法是,设计一个快慢搭配、具有层次结构的存储系统。图4.1显示了新型微机系统中的存储器组织。它呈现金字塔形结构,越往上存储器件的速度越快,CPU的访问频度越高;同时,每位存储容量的价格也越高,系统的拥有量越小。图中可以看到,CPU中的寄存器位于该塔的顶端,它有最快的存取速度,但数量极为有限;向下依次是CPU内的Cache(高速缓冲存储器)、主板上的Cache(由SRAM组成)、主存储器(由DRAM组成)、辅助存储器(半导体盘、磁盘)和大容量辅助存储器(光盘、磁带);位于塔底的存储设备,其容量最大,每位存储容量的价格最低,但速度可能也是较慢或最慢的。

4.1.1 半导体存储器的分类

由于半导体存储器具有存取速度快、集成度高、体积小、功耗低、应用方便等优点,它已被广泛地采用组成微型计算机的内存储器,其种类很多。

1.按制造工艺分类

可以分为双极型和金属氧化物半导体型两类。

(1)双极型

双极(Bipolar)型由TTL(Transistor-Transistor Logic)晶体管逻辑电路构成。该类存储器件的工作速度快,与CPU处在同一量级,但集成度低、功耗大、价格偏高,在微机系统中常用作高速缓存器(Cache)。

(2)金属氧化物半导体型

金属氧化物半导体(Metal-Oxide-Semiconductor)型简称MOS型。该类型有多种制作工艺,如NMOS、HMOS、CMOS、CHMOS等。可用来制作多种半导体存储器件,如静态RAM、动态RAM、EPROM等。该类存储器的集成度高、功耗低、价格便宜,但速度较双极型器件慢。微机的内存主要由MOS型半导体构成。

2.按存取方式分类

可以分为随机存取存储器RAM(Ramdom Access Memory)和只读存储器ROM(Read Only Memory)两大类。

(1)随机存取存储器RAM

RAM也称读/写存储器,即CPU在运行过程中能随时进行数据的读出和写入。RAM中存放的信息在关闭电源时会全部丢失,所以,RAM是易失性存储器,只能用来存放暂时性的输入/输出数据、中间运算结果和用户程序,也常用它来与外存交换信息或用作堆栈。通常人们所说的微机内存容量就是指RAM存储器的容量。

按照RAM存储器存储信息电路原理的不同,RAM可分为静态RAM和动态RAM两种。

①静态RAM(Static RAM)简称SRAM,其特点是:基本存储电路一般由MOS晶体管触发器组成,每个触发器可存放一位二进制的0或1。只要不断电,所存信息就不会丢失。因此,SRAM工作速度快、稳定可靠,不需要外加刷新电路,使用方便。但它的基本存储电路所需的晶体管多(最多的需要6个),因而集成度不易做得很高,功耗也较大。一般SRAM 常用作微型系统的高速缓冲存储器(Cache)。

②动态RAM(Dynamic RAM)简称DRAM。DRAM的基本存储电路是以MOS晶体管的栅极和衬底间的电容来存储二进制信息。由于电容总会存在泄漏现象,时间长了DRAM 内存储的信息会自动消失。为维持DRAM所存信息不变,需要定时地对DRAM进行刷新(Refresh),即对电容补充电荷。因此,集成度可以做得很高,成本低、功耗少,但它需外加刷新电路。DRAM的工作速度比SRAM慢得多,一般微型机系统中的内存储器多采用DRAM。

(2)只读存储器ROM

ROM是一种一旦写入信息之后,在程序运行中只能读出而不能写入的固定存储器。断电后,ROM中存储的信息仍保留不变,所以,ROM是非易失性存储器。因此,微型系统中常用ROM存放固定的程序和数据,如监控程序、操作系统中的BIOS(基本输入/输出系统)、BASIC解释程序或用户需要固化的程序。

按照构成ROM的集成电路内部结构的不同,ROM可分为以下几种:

①掩膜ROM——利用掩膜工艺制造,由存储器生产厂家根据用户要求进行编程,一经制作完成就不能更改其内容。因此,只适合于存储成熟的固定程序和数据,大批量生产时成本较低。

②PROM——可编程ROM(Programable ROM)。该存储器在出厂时器件中没有任何信息,是空白存储器,由用户根据需要,利用特殊的方法写入程序和数据。但只能写入一次,写入后不能更改。它类似于掩膜ROM,适合于小批量生产。

③EPROM——可擦除可编程ROM(Erasable PROM),如Intel2732(4K×8)、2764(8K ×8)。该存储器允许用户按照规定的方法和设备进行多次编程,如果编程之后需要修改,可用紫外线灯制作的抹除器照射约20分钟,即可使存储器全部复原,用户可以再次写入新的

内容。这对于工程研制和开发特别方便,应用得比较广泛。

④EEPROM (E 2PROM )——电可擦除可编程ROM (Electrically Erasable PROM )。 E 2PROM 的特点是:能以字节为单位进行擦除和改写,而不像EPROM 那样整体地擦除;也不需要把芯片从用户系统中取下来用编程器编程,在用户系统中即可进行改写。随着技术的发展,E 2PROM 的擦写速度不断加快,容量也将不断提高,将可作为非易失性的RAM 使用。图4.2为微型计算机中半导体存储器的分类。

3.新型存储器

目前还有新型的可编程的只读存储器——闪速存储器(Flash Memory ),以及在RAM 基础上发展起来按内容寻址的存储器CAM 及专用于显示器的Video —RAM 和一种用铁电薄膜及金属—氧化物—半导体器件结合起来的新型铁电随机存取存储器(FRAM )等。

4.1.2 半导体存储器的组成

如图4.3所示,半导体存储器由地址寄存器,译码电路、存储体、读/写控制电路、数据寄存器、控制逻辑等6

个部分组成。

1.存储体

基本存储电路是组成存储器的基础和核心,它用于存放一位二进制信息“0”或“1”。若干记忆单元(或称基本存储电路)组成一个存储单元,一个存储单元一般存储一个字节,即存放8位二进制信息,存储体是存储单元的集合体。

DB

2.译码驱动电路

该电路实际上包含译码器和驱动器两部分。译码器的功能是实现多选1,即对于某一个输入的地址码,N个输出线上有唯一一个高电平(或低电平)与之对应。例如,A4A3A2A1A0=00000时,仅有X0输出为高电平,其它X1~X31输出均为低电平。A4A3A2A1A0=00001时,仅有X1为高电平,其它均为低电平。

为了区分存储体中的具体存储单元,必须对它们逐一进行编号,此编号即为对应存储单元的地址。为了对某指定存储单元寻址,计算机中采用地址译码予以实现。常用的地址译码有两种方式,即单译码和双译码方式。

(1)单译码方式

单译码方式是一个“N

中取1”的译码器,如图4.4

所示。译码器输出驱动N根字线中的一根,

每根字线由M位组成。若某根字线被选中,

则对应此线上的M 位信号便

同时被读出或写入,经输出

缓冲放大器输出或输入一个M位的字。

在图4.4中,若字线N为16,M为

4位,则地址译码器的地址

输入线p应为4位,24=16

个状态,分别控制16条字线

(W0~W15)。当地址信号为0000

时,选中字线W0,若进行读出操作,

则该字线上的4位同时被读出;若地址信号为1111,则选中第16条字线W15,此时如果是写操作,则该字线上的4位便同时被写入。

大容量

的存储器,可采用双

译码方式。

(2)双译码方

双译码方式采用

的是两级译码电路。

当字选择线的根数N

很大时,N=2p中的p

必然也大,这时可将

p分成两部分,如:

N=2p=2q+r=2q×2r=X

×Y,这样便将对N

的译码分别由X译码

和Y译码两部分完成。

现以p=10为例,可以分为:

N=210=25×25=32×32=1024,其译码结构如图4.5所示。

D M-1

M

线

D0

D1

选中字线

输出M位

图4.4 单译码寻址示意图

N取1译码器

A P-1 A P-2 A1A0

p个输入

W N-1 …W1 W0

N根字线

N=2p个地址

基本存储电路

X0

X

(行)

A0

A1

A2

A3

A4

W0,0W0,31

W31,.0W31,31

Y(列)地址译码及I/O控制

数据输入

数据输出

R/W控制

A5A6A7A8A9

Y0 …Y

31

X31

基本存储电路

图4.5 双译码结构示意图

图4.5中,1024个字排成32×32的矩阵,需要10根地址线A9~A0,分成X和Y两部分,A4~A0输入至X方向(行)译码器,它输出32条字选择线,分别选择32行(X0~X31);A9~A5输入至Y译码器,它输出的32条位选择线分别选择Y0~Y31列,它控制各列的位线控制门。设A9~A0=0000000000,则X地址译码输出X0为高电平,选中X0行,此时X0行控制的W0.0,W0.1,W0.3,…,W0.31各位都有可能被选中进行读(写)操作,具体选中哪一位,取决于Y地址译码,根据此例的设定,Y地址译码输出Y0应为高电平,列线Y0有效,此列控制的位线控制门打开,故X、Y双向译码结果是选中W0.0位基本存储电路,即可对这一位存储电路进行读(写)操作。如果一个存储字有8位,就需要8个这样的X、Y阵列。当一个地址被选中时,8个阵列同时被激励,从而构成该地址单元的8位同时被读出(或写入)。

在半导体存储器中,一个或几个X,Y阵列被集成在一块芯片上。如果将存储字的8位都集成在一块芯片内,这种存储器芯片为字结构,如Intel 2732ROM、Intel 6116RAM其存储容量分别为4K×8bit、2K×8bit;如果芯片中集成的是各存储字的同一位或几位,这种芯片则称为位结构芯片,如Intel 2614A RAM、Intel 2114 RAM 其存储容量分别为64K×1bit 和1K×4bit.

与单译码方式比较,双译码寻址可减少输出选择线的数目。仍以p=10为例,采用单译码方式,译码输出需要1024根选择线,若采用双译码,排成32×32的矩阵,输出状态仍为1024个,但译码输出选择线却只需要32+32=64根,大大减少了选择线的数目。存储器容量越大,此优点越突出。

3.地址寄存器

用于存放CPU访问存储单元的地址,经译码驱动后指向相应的存储单元。通常微型计算机中,访问地址由地址锁存器提供,如8086 CPU中的地址锁存器8282;存储单元地址由地址锁存器输出后,经地址总线送到存储器芯片内直接译码。

4.读/写电路

包括读出放大器、写入电路和读/写控制电路,用以完成对被选中单元中各位的读出或写入操作。存储器的读/写操作是在CPU的控制下进行的,只有当接收到来自CPU的读/写命令和后,才能实现正确的读/写操作。

数据寄存器用于暂时存放从存储单元读出的数据,或从CPU或I/O端口送出的要写入存储器的数据。暂存的目的是为了协调CPU和存储器之间在速度上的差异,故又称之为存储器数据缓冲器。

控制逻辑接收来自CPU的启动、片选、读/写及清除命令,经控制电路综合和处理后,产生一组时序信号来控制存储器的读/写操作。

4.1.3 半导体存储器的主要性能指标

衡量半导体存储器性能的指标很多,诸如功耗、可靠性、容量、价格、电源种类、存取速度等,但从功能和接口电路的角度来看,最重要的指标是存储器芯片的容量和存取速度。

1.存储容量

存储容量是指存储器(或存储器芯片)存放二进制信息的总位数,即:

存储容量=存储单元数×每个单元的位数(或数据线位数)

存储容量常以字节或字为单位,微型机中均以字节B(Byte)为单位,如存储容量为64KB、512KB、1MB等。外存中为了表示更大的容量,用MB、GB、TB为单位,其中1KB=210B,1MB=1024KB=220B,1GB=1024MB=230B,1TB=1024GB=240B。由于一个字节(1B)定义

为8位二进制信息,所以,计算机中一个字的长度通常是8的倍数。存储容量这一概念反映了存储空间的大小。

2.存取时间

存取时间是反映存储器工作速度的一个重要指标。它是指从CPU给出有效的存储器地址启动一次存储器读/写操作,到该操作完成所经历的时间,称为存取时间。具体来说,对一次读操作的存取时间就是读出时间,即从地址有效到数据输出有效之间的时间,通常在101~102ns之间。而对一次写操作,存取时间就是写入时间。

3.存取周期

指连续启动两次独立的存储器读/写操作所需的最小间隔时间。对于读操作,就是读周期时间;对于写操作,就是写周期时间。通常,存取周期应大于存取时间,因为存储器在读出数据之后还要用一定的时间来完成内部操作,这一时间称为恢复时间。读出时间加上恢复时间才是读周期。由此可见,存取时间和存取周期是两个不同的概念。

4.可靠性

可靠性指存储器对环境温度与电磁场等变化的抗干扰能力。

5.其他指标

其他技术指标还有功耗、体积、重量、价格等,其中功耗含维持功耗和操作功耗。

4.2 随机存储器(RAM)

随机存储器RAM可进一步分为静态RAM(SRAM)和动态RAM(DRAM)两类。

4.2.1 静态随机存储器(SRAM)

1.基本存储电路

图4.6为6管静态RAM的基本存储电路,

图4.6 六管静态存储单元

静态存储电路是由两个增强型的NMOS反相器交叉耦合而成的触发器,如图4.6所示。其中T1、T2为工作管,T3、T4为负载管,T5、T6为控制管,T7、T8也为控制管,它们为同一列线上的存储单元共用。这个电路具有两个不同的稳定状态:若T1截止则A=“1”(高电平),它使T2饱和导通,于是B=“0”(低电平),而B=“0”又保证了T1截止。所以,这种状态是稳定的。同样,T1导通,T2截止的状态也是相互保证而稳定的。因此,可以用这两种不同状态分别表示“1”或“0”。

2.工作过程

该基本存储电路的工作过程如下:

(1)当该存储电路被选中时, X地址译码线为高电平,门控管T5、T6导通,Y地址译码线也为高电平,门控管T7、T8导通,触发器与I/O线(位线)接通,即A点与I/O线接通,B点与接通。

(2)写入时,写入数据信号从I/O线和线进入。若要写入“1”,则使I/O线为1(高电平),为0(即低电平),它们通过T5、T6、T7、T8管与A、B点相连,即A=1、B=0,从而使T1截止,T2导通。而当写入信号和地址译码信号消失后,该状态仍能保持。若要写入“0”,则使I/O线为0,为高,这时T1导通,T2截止,只要不断电,这个状态也会一直保持下去,除非重新写入一个新的数据。

(3)对写入内容进行读出时,需要先通过地址译码使字选择线为高电平,于是T5、T6、T7、T8导通,A点的状态被送到I/O线上,B点的状态被送到线上,这样,就读取了原来存储器的信息。读出以后,原来存储器内容不变,所以,这种读出是一种非破坏性读出。

由于SRAM的基本存储电路中所含晶体管较多,故集成度较低。而且由T1、T2管组成的双稳态触发器总有一个管子处于导通状态,所以,会持续地消耗电能,从而使SRAM的功耗较大,这是SRAM的两个缺点。静态RAM的主要优点是工作稳定,不需要外加刷新电路,从而简化了外电路设计。

3.基本结构

静态RAM通常由地址译码、存储矩阵、读/写控制逻辑及三态数据缓冲器4部分组成,1K×1的静态RAM芯片的内部组成框图如图4.7所示。

(1)存储矩阵

存储矩阵是由许多如图4.6所示的基本存储元电路组成,通常排列成二维矩阵形式。本

例中采用位结构,即将所有单元(1024个)的同一位制作在同一芯片上,并排成32×32方阵,1024个单元需要10条地址线,其中5条(A 4~A 0)用于行(X )译码,5条(A 9~A 5)用于列(Y )译码,行、列同时选中的单元为所要访问的单元。这种结构的优点是芯片封装时引线较少。

(2)地址译码器

如前所述,CPU 在读/写一个存储单元时,总是先将访问地址送到地址总线上,然后将高位地址经译码后产生片选信号(S C )选中某一芯片,用低位地址送至存储器,经片内地址译码器译码选中所需的存储单元,只有行、列方向同时选中的单元才是所要访问的单元,最后在CPU 的读/写命令控制下完成对该单元的读出或写入。

(3)读/写控制与三态数据缓冲器

CPU 送出的访问地址中用高位部分经译码后送到读/写控制逻辑的S C 输入端,作为片选信号,表示该芯片被选中,允许对其进行读/写。当读/写命令R W /D R 送入存储器芯片的读/写控制电路的R/W 端时,被选中存储单元中的数据经三态I/O 数据缓冲器的D 7~D 0端送数据总线(读操作时),或将数据总线上的数据经三态I/O 数据缓冲器写入被选中的存储单元(写操作时)。

4.典型的静态RAM 芯片

不同的静态RAM 的内部结构基本相同,只是在不同容量时其存储体的矩阵排列结构不同。即有些采用多字一位结构,有些则采用多字多位结构。

典型的静态RAM 芯片如Intel 6116(2K ×8位),6264(8K ×8位),62128(16K ×8位)和62256(32K ×8位)等。

图4.8为SRAM 6264芯片的引脚图,其容量为8K ×8位,即共有8K (213)个单元,每单元8位。因此,共需地址线13条,即A 12~A 0;数据线8条即I/O 8~I/O 1、E W 、E O 、1E C 、CE 2的共同作用决定了SRAM 6264的操作方式,如表4.1所示。

表4.1 SRAM 6264操作方式 E W 1E C CE 2

E O 方式 I/O 1~I/O 8 × 1 ×

× 未选中 高阻 × × 0

× 未选中 高阻 1 0 1 1

输出禁止 高阻 1 28 2 27 3 26 4 25 5 24 6 23 7 22 8 6264 21 9 20 10 19 11 18 12 17 13 16 14 15 NC A 4 A 5 A 6 A 7 A 8 A 9 A 10 A 11 A 12 I/O 1 I/O 2 I/O 3 GND 图4.8 SRAM 6264引脚图

4.2.2 动态随机存储器(DRAM )

1.基本存储电路

在六管静态存储电路中,信息

是暂存在T1和T2的栅极,负载管

T3、T4是为了给T1、T2补充电荷

而设置的。由于MOS 管的栅极电阻

很高,故泄漏电流很小,在一定的

时间内这些信息电荷可以维持。为

了提高集成度,可取消负载管T3、

T4形成四管动态存储电路。为进一

步提高集成度,又出现了三管和单

管动态存储电路。

图4.9为单管动态RAM 的基本

存储电路,由MOS 晶体管和一个电

容C S 组成。 2.工作过程

(1)写入时,行、列选择线信号为“1”。行选管T 1导通,该存储单元被选中,若写入“1”,则经数据I/O 线送来的写入信号为高水平,经刷新放大器和T 2管(列选管)向C s 充电,C s 上有电荷,表示写入了“1”;若写入“0”,则数据I/O 线上为“0”,C s 经T 1管放电,C s 上便无电荷,表示写入了“0”。

(2)读出时,先对行地址译码,产生行选择信号(为高电平)。该行选择信号使本行上所有基本存储单元电路中的T 1管均导通,由于刷新放大器具有很高的灵敏度和放大倍数,并且能够将从电容上读取的电流信号(与C s 上所存“0”或“1”有关)折合为逻辑“0”或逻辑“1”。若此时列地址(较高位地址)产生列选择信号,则行和列均被选通的基本存储电路得以驱动,从而读出数据送入数据I/O 线。

(3)读出操作完毕,电容C s 上的电荷被泄放完,而且选中行上所有基本存储元电路中的电容C s 都受到干扰,故是破坏性读出。为使C s 上读出后仍能保持原存信息(电荷),刷新放大器又对这些电容进行重写操作,以补充电荷使之保持原信息不变。所以,读出过程实际上是读、回写过程,回写也称为刷新。

这种单管动态存储元电路的优点是结构简单、集成度较高且功耗小。缺点是列线对地间的寄生电容大,噪声干扰也大。因此,要求C s 值做得比较大,刷新放大器应有较高的灵敏度和放大倍数。

3.基本结构

(1)DRAM 芯片的结构特点

动态RAM 与静态RAM 一样,都是由许多基本存储元电路按行、列排列组成二维存储矩阵。

为了降低芯片的功耗,保证足够的集成度,减少芯片对外封装引脚数目和便于刷新控制,DRAM 芯片都设计成位结构形式,即每个存储单元只有一位数据位,一个芯片上含有若干字,如4K ×1位,8K ×1位,16K ×1位, 64K ×1位或256K ×1位等。存储体的这一结构形式是DRAM 芯片的结构特点之一。

DRAM 存储体的二维矩阵结构也使得DRAM 的地址线总是分成行地址线和列地址线两部分,芯片内部设置有行、列地址锁存器。在对DRAM 进行访问时,总是先由行地址选通

信号(CPU 产生)把行地址打入内置的行地址锁存器,随后再由列地址选通信号

把列地址打入内置的列地址锁存器,再由读/写控制信号控制数据读出/写入。所以访问DRAM 时,访问地址需要分两次打入,这也是DRAM 芯片的特点之一。行、列地址线的分时工作,可以使DRAM 芯片的对外地址线引脚大大减少,仅需与行地址线相同即可。

(2)DRAM 的刷新

所有的DRAM 都是利用电容存储电荷的原理来保存信息。虽然利用MOS 管间的高阻抗可以使电容上的电荷得以维持,但由于电容总存在泄漏现象,时间长了其存储的电荷会消失,从而使其所存信息自动丢失。所以,必须定时对DRAM 的所有基本存储电路进行补充电荷,即进行刷新操作,以保证存储的信息不变。所谓刷新,就是不断地每隔一定时间(一般每隔2 ms )对DRAM 的所有单元进行读出,经读出放大器放大后再重新写入原电路中,以维持电容上的电荷,进而使所存信息保持不变。虽然每次进行的正常读/写存储器的操作也相当于进行了刷新操作,但由于CPU 对存储器的读/写操作是随机的,并不能保证在2 ms 时间内能对内存中所有单元都进行一次读/写操作,以达到刷新效果。所以,对DRAM 必须设置专门的外部控制电路和安排专门的刷新周期来系统地对DRAM 进行刷新。

4.典型的动态RAM 芯片

一种典型的DRAM 如Intel 2164。2164是64K ×1位的DRAM 芯片,片内含有64K 个存储单元,所以,需要16位地址线寻址。为了减少地址线引脚数目,采用行和列两部分地址线各8条,内部设有行、列地址锁存器。利用外接多路开关,先由行选通信号选通8位行地址并锁存。随后由列选通信号选通8位列地址并锁存,16位地址可选中64K 存储单元中的任何一个单元。2164芯片的读/写周期为300 ns ,存取时间为150 ns ,从到的延时范围为35~65 ns 。2164芯片的引脚和内部结构示意如图4.10所示。

(b )内部结构框图 Dout GND WE D in CAS RAS CAS D in WE A 7 A 1 A 0 RAS A 7 A 5

A 4

A 3 A 6 D out V CC A 0 A 1 A 2 NC 2164 1 16 8 9 8 位 地 址 锁 存 器 128×128 矩阵 128个读出放大器 1/2列译码 128个读出放大器 128×128 矩阵 128×128

矩阵 128个读出放大器 1/2列译码 128个读出放大器 128×128 矩阵

4选1 I/O 门控 输出缓冲器 行时 钟缓 冲器 列时 钟缓 冲器 写允 许时 钟缓 冲器 数据 输入 缓冲 器 图4.10 Intel 2164 DRAM 芯片引脚及内部(a)2164引脚图 A 0~A 7: 地址输入 : 列地址选通

行地址选通 : 写允许

D in : 数据输入 D out : 数据输出 V cc : 电源 GND :

图4.10中,64K 的存储体由4个128×128的存储矩阵组成,每个128×128的存储矩阵由7条行地址线和7条列地址线进行选择,在芯片内部经地址译码后,可分别选择128行和128列。

锁存在行地址锁存器中的7条行地址线RA 6~RA 0同时加到4个存储矩阵上,在每个矩阵中选中一行,则共有4×128=512个基本存储电路被选中,存放信息被选通到512个读出放大器,经过鉴别后锁存或重写。

锁存在列地址锁存器中的7条列地址线CA 6~CA 0在每个存储矩阵中选中一列,然后再由4选1的I/O 门控电路(由RA 7,CA 7控制)选中一个单元,对该单元进行读写。

2164数据的读出和写入是分开的,由

控制读写,当

为高电平时读出,即所选中

单元的内容经过三态输出缓冲器在D out 引脚读出。当

为低电平时实现写入,D in 引脚上

的信号经输入三态缓冲器对选中单元进行写入。2164没有片选信号,实际上用行选通信号

作为片选信号。

4.3 只读存储器(ROM )

ROM 主要由地址译码器、存储矩阵、控制逻辑和输出电路四部分组成(如图4.11所示),与RAM 不同之处是ROM 在使用时只能读出,不能随机写入。

4.3.1 掩膜ROM

所谓掩膜ROM ,是指生产厂家根据用户需要在ROM 的制作阶段,通过“掩膜”工序

将信息做到芯片里,适合于批量生产和使用。例如,国家标准的一、二级汉字字模(汉字字形信息)就可以做到一个掩膜的ROM 芯片中,这类ROM 可由二极管、双极型晶体管和MOS 电路组成,其工作原理是类似的。

1.字译码结构

. . . Y 译码 输出电路 存储矩阵 D7 D0

X 译

控制逻辑 地

址码 图4.11 ROM 组成框图

图4.12为二极管构成的4×4位的存储矩阵,地址译码采用单译码方式,它通过对所选定的某字线置成低电平来选择读取的字。位于矩阵交叉点并与位线和被选字线相连的二极管导通,使该位线上输出电位为低电平,结果输出为“0”。如果矩阵交叉点上没有二极管(或二极管断路),就没有电流经二极管流过偏流电阻R ,该位线上将输出为“1”。

用MOS 三极管取代二极管便构成了图4.13所示的MOS ROM 阵列。

图中MOS ROM 采用单译码方式,地址A 1和A 0输入译码后,输出4条选择线,每一条选中一个字,位线输出即为一个字的各位。在图示的存储矩阵中,有的列连有管子,有的列没有连管子,它与二极管ROM 一样,是在制造时由二次光刻版的图形(掩模)所决定的。

图4.13中,若A 1A 0=00,则第一条字线输出高电平,位线1和4与其相连的MOS 管导通,于是该两条位线输出为“0”;而位线2和3没有管子与字线1相连,则输出为“1”。由此可知,当某一字线被选择(输出高电平)时,连有管子的位线输出为“0”,没有管子相连的位线输出为“1”。

从二极管ROM 和MOS ROM 的介绍可知,这种存储矩阵的内容完全取决于芯片制造过程,而一旦制造好以后,用户是无法变更的。

2.复合译码结构

图4.12 二极管ROM

位 4 3 2 1 1 0 1 0 1

2 0 0 1 0

3 0 1 0 1

4 0 1 1 1 字 位

4 3 2 1 1 0 1 1 0 2 0 1 1 1 3 1 0 1 0 4

1

图4.13 MOS ROM 阵列

如图4.14是一个1024×1位的MOS ROM 电路。10条地址信号线分成两组,分别经过X 和Y 译码,各产生32条选择线。X 译码输出选中某一行,但这一行中,哪一个能输出与I/O 电路相连,还取决于Y 译码输出,故每次只选中一个单元。8个这样的电路,它们的地址线并联,则可得到8位信号输出。

3.双极型ROM 电路 双极型ROM 的速度比MOS ROM 快,它的取数时间约为几十ns ,可用于速度要求较高的微机系统中。图4.15是一种双极型ROM 的结构图,容量为256×4位。它包括两部分,点划线上面的是ROM 的基本部分,下面是读取控制部分。

256×4基本存储单元为1024个,故可排成32×32矩阵,只是X 方向产生32条选择线,Y 方向产生8条选择线,每四个基本存储单元为一组,同时被选中。

图4.15 一种双极型ROM 的结构图

A 0

A 1

A 2 A 3

A 4

A 5

A 6

A 7

图4.14 复合译码的MOS ROM 电路

A 5

A 6 A 7 A 8 A 9

A 0 A 1

A 2

A 3 A 4

V CC ……

存储单元的工作原理仍为当某一行被选中时,连到存储管子的基极信号为“1”,各列若有管子与此选择线相连,则管子导通,输出为“0”,在输出电路中经过反相,实际输出为“1”;若没有管子与此选择线相连,则存储矩阵输出为“1”,经过输出电路反相,输出为“0”。

4.3.2 可编程ROM(PROM)

可编程ROM(PROM)是一种允许用户

编程一次的ROM,其存储单元通常用二极管

或三极管实现。图4.16所示存储单元的双极

型三极管的发射极串接了一个可熔金属丝,因

此这种PROM也称为“熔丝式”PROM。

出厂时,所有存储单元的熔丝都是完好

的。编程时,通过字线选中某个晶体管。若准

备写入1,则向位线送高电平,此时管子截止,图4.16 熔丝式PROM的基本存储结构

熔丝将被保留;若准备写入0,则向位线送低电平,此时管子导通,控制电流使熔丝烧断。

所有的存储单元出厂时均存放信息1,一旦写入0即将熔丝烧断,不可能再恢复,故只能进行一次编程。

4.3.3 可擦除、可编程ROM(EPROM)

在实际工作中,一个新设计的程序往往需要经历调试、修改过程,如果将这个程序写在ROM和PROM中,就很不方便了。EPROM是一种可以多次进行擦除和重写的ROM。

在EPROM中,信息的存储是通过电荷分布来决定的,所以编程过程就是一个电荷聚集过程。编程结束后,尽管撤除了电源,但由于绝缘层的包围,聚集的电荷无法泄露,因此电荷分布维持不变。

EPROM具有可修改性,在它的正面,有一个石英玻璃窗口,当用紫外线光源通过窗口对它照射15~20分钟(视具体型号而异)后,其内部电荷分布被破坏,聚集在各基本存储电路中的电荷形成光电流泄露走,使电路恢复为初始状态,片内所有位变为全1,从而擦除了写入的信息。经擦除后的EPROM芯片可在EPROM编程器上写入新的内容,即重新编程。

需要注意的是,EPROM经编程后正常使用时,应在其照射窗口贴上不透光的胶纸作为保护层,以避免存储电路中的电荷在阳光或正常水平荧光灯照射下的缓慢泄露。

1.基本存储电路和工作原理

EPROM的基本存储电路如图4.17(a)所示,关键部件是FAMOS场效应管。FAMOS (Floationg grid Avalanche injection MOS)的意思是浮置栅雪崩注入型MOS。图4.17(b)显示了FAMOS管(简称浮置栅场效应管)的结构。

该管是在N 型的基底上做出2个高浓度的P 型区,从中引出场效应管的源极S 和漏极D ;其栅极G 则由多晶硅构成,悬浮在SiO 2绝缘层中,故称为浮置栅。出厂时所有FAMOS 管的栅极上没有电子电荷,源、漏两极间无导电沟道形成,管子不导通,此时它存放信息1;如果设法向浮置栅注入电子电荷,就会在源、漏两极间感应出P 沟道,使管子导通,此时它存放信息0。由于浮置栅悬浮在绝缘层中,所以一旦带电后,电子很难泄漏,使信息得以长期保存。

2.编程和擦除过程

EPROM 的编程过程实际上就是对某些单元写入0的过程,也就是向有关的FAMOS 管的浮置栅注入电子的过程。采用的办法是:在管子的漏极加一个高电压,使漏区附近的PN 结雪崩击穿,在短时间内形成一个大电流,一部分热电子获得能量后将穿过绝缘层,注入浮置栅。由于该过程的时间被严格控制(几十毫秒),所以不会损坏管子。

擦除的原理与编程相反,通过向浮管置栅上的电子注入能量,使得它们逃逸。擦除时,一般采用波长2357Å的15W 紫外灯管,对准芯片窗口,在近距离内连续照射15~20分钟,即可将芯片内的信息全部擦除。

3.典型的EPROM 芯片

常用的典型EPROM 芯片有:2716(2K ×8)、2732(4K ×8)、2764(8K ×8)、27128(16K ×8)、27256(32K ×8)、27512(64K ×8)等。这些芯片多采用NMOS 工艺,但如果采用CMOS 工艺,其功耗要比前者小得多,这样的芯片,常在其名称中加有一个C ,如27C64。

4.3.4 电可擦除可编程ROM (EEPROM )

E 2PROM 是一种在线(即不用拔下来)可编程只读存储器,它能像RAM 那样随机地进

行改写,又能像ROM 那样在掉电的情况下

所保存的信息不丢失,即E 2PROM 兼有

RAM 和ROM 的双重功能特点。

一个E 2PROM 管子的结构示意图如图4.18所示。它的工作原理与EPROM 类似,

图4.17 EPROM 的基本存储电路和FAMOS 结构

源极

漏极

多晶硅浮置栅

N 基底

字选线

位线

(a)EPROM 的基本存储结构

(b)浮置栅雪崩注入型场效应管结构

图4.18 E 2

PROM 结构示意图

+V G +V D

当浮空栅上没有电荷时,管子的漏极和源极之间不导电,若设法使浮空栅带上电荷,则管子就导通。在E2PROM中,使浮空栅带上电荷和消去电荷的方法与EPROM中是不同的。在E2PROM中漏极上面增加了一个隧道二极管,它在第二栅与漏极之间的电压V G的作用下,可以使电荷通过它流向浮空栅(即起编程作用);若V G的极性相反也可以使电荷从浮空栅流向漏极(起擦除作用)。而编程与擦除所用的电流是极小的,可用极普通的电源供给V G。

E2PROM的另一个优点是擦除可以按字节分别进行(不像EPROM擦除时把整个片子的内容全变为“1”)。字节的编程和擦除都只需要10ms。

4.3.5 Flash存储器

闪速存储器(Flash Memory)是一种新型的半导体存储器,由于它具有可靠的非易失性、电擦除性以及低成本,对于需要实施代码或数据更新的嵌入式应用是一种理想的存储器,而且它在固有性能和成本方面有较明显的优势。

Intel公司的ETOX TM(EPROM沟道氧化物)闪速存储器是以单晶体管EPROM单元为基础的。因此闪速存储器就具有非易失性,在断电时它也能保留存储内容,这使它优于需要持续供电来存储信息的易失性存储器。闪速存储器的单元结构和它具有的EPROM基本特性使它的制造特别经济,在密度增加时保持可测性,并具有可靠性,这几方面综合起来的优势是目前其他半导体存储器技术所无法比拟的。

与EPROM只能通过紫光线照射实施擦除的特点不同,闪速存储器可实现大规模电擦除。闪速存储器的擦除功能可迅速清除整个器件中所有内容,这一点优于传统的可修改字串的E2PROM。

Intel的ETOX处理制造出的器件可重复使用,可以被擦除和重新编程几十万次而不会失效。在文件需经常更新的可重复编程应用中这显然是一种独有的性能。

总之,闪速存储器是一种低成本、高可靠性的读写非易失性存储器。从功能上讲,由于其随机存取的特点,闪速存储器也可看作是一种非易失的ROM,因此它成为能够用于程序代码和数据存储的理想媒体。

闪速存储器展示出了一种全新的个人计算机存储器技术。作为一种高密度、非易失的读写半导体技术,它特别适合作固态磁盘驱动器;或以低成本和高可靠性替代电池支持的静态RAM。由于便携式系统既要求低功耗、小尺寸和耐久性,又要保持高性能和功能的完整,该技术的固有优势就十分明显。它突破传统的存储器体系,改善了现有存储器的特性。

闪速存储器的主要特点为:

1.固有的非易失性

它不同于静态RAM,不需要备用电池来确保数据存留,也不需要磁盘作为动态RAM 的后备存储器。

2.经济的高密度

Intel的1M位闪速存储器的成本按每位计要比静态RAM低一半以上(不包括静态RAM 电池的额外花费和占用空间)。闪速存储器的成本仅比容量相同的动态RAM稍高,但却节省了辅助(磁盘)存储器的额外费用和空间。

3.可直接执行

由于省去了从磁盘到RAM的加载步骤,查询或等待时间仅决定于闪速存储器,用户可充分享受程序和文件的高速存取以及系统的迅速启动。

4.固态性能

闪速存储器是一种低功耗、高密度且没有移动部分的半导体技术。便携式计算机不再需要消耗电池以维持磁盘驱动器运行,或由于磁盘组件而额外增加体积和重量。用户不必再担心工作条件变坏时磁盘会发生故障。

总之,Intel闪速存储器的出现带来了固态大容量存储器的革命。Intel公司推出了一系列的闪速存储器作为便携式个人计算机的综合存储卡,如:iMC001FLKA 1MB闪速存储卡、iMC 002FLKA 2MB闪速存储卡、iMC004FLKA 4MB闪速存储器等。

4.4 存储器与CPU的接口技术

4.4.1 存储器与CPU的连接

CPU通过地址总线、数据总线及控制总线实现与存储器的连接。

1.存储器与CPU连接时应注意的问题

(1)CPU总线的负载能力

在微型机系统中,CPU通过总线与存储器芯片、I/O接口芯片连接,而CPU的总线驱动能力是有限的。一般输出线的直流负载能力为带一个TTL负载,存储器芯片多为MOS电路,直流负载很小,主要负载为电容负载。在小型系统中,CPU可直接与存储器芯片连接,但与大容量的存储器连接时就应考虑总线的驱动问题。

(2)CPU时序与存储器芯片存取速度的配合问题

在微机工作过程中,CPU对存储器的读/写操作是最频繁的基本操作。因此,在考虑存储器与CPU连接时,必须考虑存储器芯片的工作速度是否能与CPU的读/写时序相匹配问题,应从存储器芯片工作时序和CPU时序两个方面来考虑。

(3)存储器的地址分配和片选问题

首先确定整机存储容量,再确定选用存储芯片的类型和数量,之后划分RAM、ROM区,画出地址分配图。存储器空间的划分和地址编码是靠地址线来实现的。对于多片存储芯片构成的存储器,其地址编码原则是:低位地址总线作为片内寻址,高位地址线将用来产生存储芯片的片选信号线。

2.地址的选择

(1)芯片地址线的连接

存储芯片的地址线通常与系统的低位地址总线相连。寻址时,这部分地址的译码是在存储芯片内部完成的,称为片内译码。设某存储器有N条地址线,该芯片被选中时。其地址线得到N位地址信号,经芯片内部进行N→2N的译码,译码后的地址范围是:000…000(N位全为0)到111…111(N位全为1)。

(2)芯片片选端的处理

由一个存储芯片或芯片组构成的存储器,其容量是有限的,使用时不一定能够满足要求。因此,常要在地址方向上加以扩充,以满足对多个存储器芯片或芯片组进行寻址。这一寻址过程中,主要通过将系统高位地址线经外部地址译码器产生的输出信号与存储器的片选端相连接的方法来实现。通过地址译码实现片选的方法有3种:线选法、全译码法和部分译码法。

①线选法

线选法是指利用地址总线的高位地址线中的某一位直接作为存储器芯片的片选信号(),用地址线的低位实现片内寻址。线选法的优点是结构简单,缺点是地址空间浪费大。由于部分地址线未参与译码,必然会出现地址重叠。此外,当通过线选的芯片增多时,还有可能出现可用地址空间不连续的情况。图4.19为线选法的例子,图中有2个2764芯片(8K ×8位,EPROM ),采用线选法对它们进行寻址,令A 13和A 14分别接芯片甲和乙的片选端。考虑到2个芯片不能被同时选中,所以地址中不允许出现A 14A 13=00的情况,可能的选择只有10(选中芯片甲)和01(选中芯片乙)。

图4.19中,A 19~A 15因未参与对2个2764的片选控制,故其值可以是0或1(用x 表示任取),这里,假定取为全0,则得到了两片2764的地址范围如图中所示,显然2片2764的重叠区各有25=32个。

②全译码法

全译码法是指将地址总线中除片内地址以外的全部高位地址接到译码器的输入端参与译码。采用全译码法,每个存储单元的地址都是唯一的,不存在地址重叠,但译码电路较复杂,连线也较多。

图4.20为全译码的2个例子。前一例采用门电路译码,后例采用3~8译码器译码。3~8译码器有3个控制端:G 1,G 2A ,G 2B ,只有当G 1=1,G 2A =0,G 2B =0,同时满足时,译码输出才有效。究竟输出(Y 0~Y 7)中是哪个有效,则由选择输入CBA 决定。CBA=000时,Y 0有效,CBA=001时,Y 1有效,依此类推。单片2764(8K ×8位,EPROM )在高位地址A 19~A 13=0000110时被选中,其地址范围为0C000H~0DFFFH 。

A 12~A 0 2764

(甲) 2764 (乙) A 14 A 13 CE CE 芯片 A 19 ~ A 15 A 14 A 13 A 12 ~ A 0 一个可用的地址范围

甲 ××××

×

1 0 全0~全1 04000H~05FFFH

图4.19 线选法 图4.20 全译码法

G 1 G 2A G 2B

Y 6 74LS138

③部分译码法

部分译码法是将高位地址线中某几位(而不是全部高位)地址经译码器译码,作为存储器的片选信号。对被选中的芯片而言,未参与译码的高位地址线可以为0,也可以为1,即每个存储单元将对应多个地址。使用时一般将未用地址设为0。采用部分译码法,可简化译码电路,但由于地址重叠,会造成系统地址空间资源的部分浪费。

图4. 21所示的电路,采用部分译码对4个2732芯片(4K ×8位,EPROM )进行寻址。译码时,未使用高位地址线A19、A18和A15。也就是说,这3位无论是什么,对芯片寻址都没有影响。所以,每个芯片将同时具有23=8个可用且不同的地址范围(即重叠区)。对这4片2732所构成的存储空间,我们可选取其中连续、好用又不冲突的一组地址,如00000H~03FFFH 。

3.数据信号的连接

存储器通常以字节编址,即一个存储单元对应一个字节(8位),也就是说存储器的数据线只有8位(条),而CPU 的系统数据总线宽度通常与字长相同,即有8位、16位、32位或64位。对于8位宽度数据总线的CPU ,与存储器连接时,双方的8位数据线对应相接即可,如8088 CPU ,数据总线8位,与存储器的8位数据线对应相连即可,每次读/写一个字节,如要读/写一个字(16位)数据必须访问2次存储器;对于16位的CPU ,其数据总线为16位,这时与存储器连接时,就有一个具体连接问题,即存储器芯片的8位数据线如何连接到16位CPU 上去。下一节我们将以8086CPU 与8位的存储器芯片的连接方式为例来讨论此问题的解决方案。实际上,对32位、64位CPU 与8位的存储器的连接,同样存在着类似的问题,其解决办法也是类似的。

4.控制信号的连接

8086 CPU 与存储器连接的控制信号主要有:地址锁存信号ALE 、选择信号,读/写信号和,准备就绪信号READY 等,存储器控制信号将与CPU 上述的一些对应信

号线相连。

4.4.2 简单的8086存储器子系统的设计 8086有16位数据线,不仅能传送一个字节信息,而且能同时传送一个字(两个字节),因此,8086与存储器连接时有新的特

点。

1. 8086的存储器组织

图4.21 部分译码法

0 0 0

0 0 0 0 G 1

G 2A G 2B

C B A

Y 0 Y 1 Y 2 Y 3 2732 (1)

2732 (2)

2732 (3)

2732

(4)

CE

CE

CE

CE

A 17 A 16 M/IO

A 14 A 13 A 12

A 11

~A 0

芯片 A 19 ~ A 15 A 14~A 12 A 11 ~ A 0

一个可用地址范

1 ××00× 000 全0~全1 00000~00FFFH

2 ××00× 001 全0~全1 01000~01FFFH 3

××00×

010 全0~全1 02000~02FFFH

74LS138

00200H 00201H 00202H 00203H 00204H 00205H 00206H

34H 12H

78H 56H

地址 …

字节变量

字节变量 字的规则存放

字的非规则存放 存储器

图4.22 字的规则存放与非规则存放

在存储器中两相邻的字节被定义为一个字,构成字的两个字节都有各自的字节地址。8086约定低字节存放在低地址,低字节的地址作为这个字的地址。若一个字从奇数地址开始存放(即低字节存放在奇数地址),则称为非规则存放,8086要用两个连续的总线周期来存取这个字,每个周期存取一个字节;若从偶数地址开始存放,则称为规则存放,8086用一个总线周期便可存取这个字。例如,字数据1234H和5678H分别存放在00200H~00201H 和00203H~00204H单元中,它们在存储体中的存放位置如图4.22所示。

在8086系统中,将1MB的存储空间从物理上分为奇地址存储体和偶地址存储体,每个存储体容量为512KB。奇地址存储体的数据线连接数据总线的高8位(D15~D8),我们又称这个存储体为高位字节存储体。偶地址存储体的数据线连接数据总线的低8位(D7~D0),我们

又称这个存储体为低位字节存储体。奇地址存储体由

BHE信号选择;偶地址存储体由A0信号选择。奇、偶存储体的体内寻址均由地址总线A19~A1控制。存储体及其与总线的连接参见图4.23。

8086CPU访问(读或写)存储器由BHE信号和A0组合形成,见表4.2。因此为提高程序运行速度,编程时应尽量注意从偶地址开始存放数据。

2.连接举例

要求用4K×8的EPROM芯片2732,8K×8的RAM芯片6264,译码器74LS138构成8K 字ROM和8K字RAM的存储器系统,如图4.24所示,系统配置为最小模式。

4.2 BHE和

BHE A0数据读/写格式使用数据线需要的总线周期

0 0 从偶地址读/写一个字AD15~AD0 一个总线周期

1 0 从偶地址读/写一个字节AD7~AD0 一个总线周期

0 1 从奇地址读/写一个字节AD15~AD8 一个总线周期

1

1

从奇地址读/写一个字

先读/写字的低8位(在奇体中)

再读/写字的高8位(在偶体中)

AD15~AD8

AD7~AD0

两个总线周期

CS A19~A1

D7~D0

偶存储体

CS A19~A1

D7~D0

奇存储体

D7~D0

D15~D8

A

A19~A1

BHE

图4.23 存储体与总线的连接

A12~A1

A12~A1

A13~A1

半导体存储器分类的介绍

半导体存储器分类介绍 § 1. 1 微纳电子技术的发展与现状 §1.1.1 微电子技术的发展与现状 上个世纪50年代晶体管的发明正式揭开了电子时代的序幕。此后为了提高电子元器件的性能,降低成本,微电子器件的特征尺寸不断缩小,加工精度不断提高。1962年,由金属-氧化物-半导体场效应晶体管(MOSFET)组装成的集成电路(IC)成为微电子技术发展的核心。 自从集成电路被发明以来[1,2],集成电路芯片的发展规律基本上遵循了Intel 公司创始人之一的Gordon Moore在1965年预言的摩尔定律[3]:半导体芯片的集成度以每18个月翻一番的速度增长。按照这一规律集成电路从最初的小规模、中规模到发展到后来的大规模、超大规模(VLSI),再到现在的甚大规模集成电路(ULSI)的发展阶段。 随着集成电路制造业的快速发展,新的工艺技术不断涌现,例如超微细线条光刻技术与多层布线技术等等,这些新的技术被迅速推广和应用,使器件的特征尺寸不断的减小。其特征尺寸从最初的0.5微米、0.35 微米、0.25 微米、0.18 微米、0.15 微米、0.13 微米、90 纳米、65 纳米一直缩短到目前最新的32纳米,甚至是亚30纳米。器件特征尺寸的急剧缩小极大地提升了集成度,同时又使运算速度和可靠性大大提高,价格大幅下降。随着微电子技术的高速发展,人们还沉浸在胜利的喜悦之中的时候,新的挑战已经悄然到来。微电子器件等比例缩小的趋势还能维持多久?摩尔定律还能支配集成电路制造业多久?进入亚微米领域后,器件性能又会有哪些变化?这一系列的问题使人们不得不去认真思考。20

世纪末期,一门新兴的学科应运而生并很快得到应用,这就是纳电子技术。 §1.1.2 纳电子技术的应用与前景 2010年底,一篇报道英特尔和美光联合研发成果的文章《近距离接触25nm NAND闪存制造技术》[4],让人们清楚意识到经过近十年全球范围内的纳米科技热潮,纳电子技术已逐渐走向成熟。电子信息技术正从微电子向纳电子领域转变,纳电子技术必将取代微电子技术主导21世纪集成电路的发展。 目前,半导体集成电路的特征尺寸已进入纳米尺度范围,采用32纳米制造工艺的芯片早已问世,25纳米制造技术已正式发布,我们有理由相信相信亚20纳米时代马上就会到来。随着器件特征尺寸的减小,器件会出现哪些全新的物理效应呢? (1)量子限制效应。当器件在某一维或多维方向上的尺寸与电子的徳布罗意波长相比拟时,电子在这些维度上的运动将受限,导致电子能级发生分裂,电子能量量子化,出现短沟道效应、窄沟道效应以及表面迁移率降低等量子特性。 (2)量子隧穿效应。当势垒厚度与电子的徳布罗意波长想当时,电子便可以一定的几率穿透势垒到达另一侧。这种全新的现象已经被广泛应用于集成电路中,用于提供低阻接触。 (3)库仑阻塞效应。单电子隧穿进入电中性的库仑岛后,该库仑岛的静电势能增大e2/2C,如果这个能量远远大于该温度下电子的热动能K B T,就会出现所谓的库仑阻塞现象,即一个电子隧穿进入库仑岛后就会对下一个电子产生很强的排斥作用,阻挡其进入。 以上这些新的量子效应的出现使得器件设计时所要考虑的因素大大增加。目

数字电路与系统 第十章 半导体存储器及可编程逻辑器件(第1-3节)课堂笔记及练习题

数字电路与系统第十章半导体存储器及可编程逻辑器件(第 1-3节)课堂笔记及练习题 主题:第十章半导体存储器及可编程逻辑器件(第1-3节) 学习时间: 2016年7月18日—7月24日 内容: 一、本周知识点及重难点分布 表17-1 本周知识点要求掌握程度一览表 二、知识点详解 【知识点1】随机存取存储器 存储器——用以存储二进制信息的器件。 根据使用功能的不同,半导体存储器可分为两大类: (1)随机存取存储器(RAM)也叫做读/写存储器。既能方便地读出所存数据,又能随时写入新的数据。RAM的缺点是数据易失,即一旦掉电,所存的数据全部丢失。 ①RAM按功能可分为静态、动态两类; ②RAM按所用器件又可分为双极型和MOS型两种。 (2)只读存储器(ROM)。其内容只能读出不能写入。存储的数据不会因断电而消失,即具有非易失性。存储器的容量:存储器的容量=字长(n)×字数(m)ROM(只读存储器):①固定ROM ②可编程ROM:PROM(可编程ROM)、EPROM(可擦写可编程)、PLA(可编程逻辑阵列)、PAL(可编程阵列逻辑)、GAL(通用阵列逻辑)。 1、RAM的基本结构 由存储矩阵、地址译码器、读写控制器、输入/输出控制、片选控制等几部分组成。 地址的选择通过地址译码器来实现。地址译码器由行译码器和列译码器组成。行、列译码器的输出即为行、列选择线,由它们共同确定欲选择的地址单元。 2、RAM存储容量的扩展

当单片RAM 不能满足存储容量的要求时,可以把若干片RAM 联在一起,以扩展存储容量,扩展的方法有位扩展和字扩展两种,在实际应用中,常将两种方法相互结合来达到预期要求。 位扩展:把各片对应的地址线连接在一起,数据线并列使用即可。接线如下图: 图17-1 RAM 芯片位扩展连接图 常用RAM 组件的类型很多,以下介绍两种:RAM2114和RAM6116。 RAM2114共有10根地址线,4根数据线。故其容量为:1024字×4位(又称为1K×4)。 RAM6116的容量为:2048字×8位(又称为2K×8)。 【知识点2】ROM 只读存储器 只读存储器,工作时其存储的内容固定不变。因此,只能读出,不能随时写入,所以称为只读存储器。 1、ROM 分类 ①掩模型ROM (Mask ROM):用户提交码点,在工厂编程 ②可改写ROM (EPROM )(用户多次编程):光可改写(UV EPROM)、电可改写(E 2PROM) ③可编程ROM (PROM )(用户一次编程):出厂保留全部熔丝,用户可编程但不可改写 2、ROM 的结构及工作原理 存储容量=字线数×位线数=2n ×b(位) ROM 的基本结构:ROM 主要由地址译码器、存储矩阵、输出电路三部分组成。地址译码器产生了输入变量的全部最小项,即实现了对输入变量的与运算;存储体实现了有关最小项的或运算。因此,ROM 实际上是由与门阵列和或门阵列构成的组合电路,利用ROM 可以实现任何组合逻辑函数。 3、ROM 的应用举例 (1)用ROM 实现组合逻辑函数 利用ROM 实现组合函数的步骤:①列出函数的真值表或写出函数的最小项表达式。②选择合适的ROM ,画出函数的阵列图。 例:按A 、B 、C 、D 排列变量,并将Y1、Y2扩展成为4变量的逻辑函数。 12 34 Y A B C Y AB AC BC Y ABD BCD BCD Y AC BC BD ABC =⊕⊕⎧⎪=++⎪⎨ =++⎪⎪=+++⎩

半导体存储器和可编程逻辑器

将D 3、D 2、D 1、D 0视为A 3、A 2、A 1、A 0的逻辑函数,试写出D 3、D 2、D 1、D 0的逻辑函数式。 A A A A D 3 D 2 D 1 D 2. 用16×4位的ROM 设计一个两个2位二进制数相乘的乘法器电路,列出ROM 的数据表, 画出存储矩阵的点阵图。 3. 试用ROM 产生如下一组多输出的逻辑函数。列出ROM 的数据表,画出存储矩阵的点阵图。 123 Y AC Y A B C ABC BC Y B C AB =?? '''=++??'''=+? 4. 试用ROM 产生如下一组多输出的逻辑函数。列出ROM 的数据表,画出存储矩阵的点阵图。 ?? ?''++''=+''=D C A BCD CD B A Y ABC C B A Y 2 1 5. 试用ROM 产生如下一组多输出的逻辑函数。列出ROM 的数据表,画出存储矩阵的点阵图。 ??? ??+='+'+'='+'=CD AB Y D C A D C B CD A Y C B A CD A Y 3 21 6. 用16×4位的ROM 设计一个将2位二进制全减器电路,列出ROM 的数据表,画出存储矩 阵的点阵图。 7. 设计一个数值转换电路,输入为X (三位二进制数X 2X 1X 0),输出为Y (三位二进制数 Y 2Y 1Y 0)。要求当X ≤1时Y=0,当2≤X ≤6时Y=X+1,当X>6时Y=1,要求:写出真值表,函数表达式;用ROM 实现,并画出存储矩阵的点阵图。

D2、D1、D0视为A3、A2、A1、A0的逻辑函数,试写出D3、D2、D1、D0的逻辑函数式。 A A A Y 3 Y 2 Y 1 9.下图是用16×4位ROM和十进制加法计数器74LS160组成的脉冲分频电路,ROM的数据 表如下表所示。试画出在CLK信号连续作用下D3、D2、D1、D0输出的电压波形,并说明它们 和CLK信号频率之比。

半导体存储器

半导体存储器(semi-conductormemory) 半导体存储器是一种以半导体电路作为存储媒体的存储器。 按其制造工艺可分为:双极晶体管存储器和MOS晶体管存储器。 其优点是:存储速度快、存储密度高、与逻辑电路接口容易。 主要用作高速缓冲存储器、主存储器、只读存储器、堆栈存储器等1、按存储器的稳定性进行分类: 2、按存储器的存储方式进行分类:

一、技术现状 半导体存储器是计算机中最重要的部件之一,冯.诺依曼计算机存储原理就是利用存储器的记忆功能把程序存放起来,使计算机可以脱离人的干预自动地工作。它的存取时间和存储容量直接影响着计算机的性能。随着大规模集成电路和存储技术封长足发张,半导体存储器的集成度以每三年翻两倍的速度在提高,相同容量的存储器在计算机中的体积和成本所占用的比例已越来越小。 从使用功能角度看,半导体存储器可以分为两大类,随机存储器RAM(Random Access Memory),只读存储器ROM(Read only Memory)。根据工作原理和条件不同,RAM又分别称为静态读写存储器SRAM(Static RAM)和动态的读写存储器DRAM(Dynamic RAM)。 目前市场上SRAM主要用于高速缓存Cache,这种存储器位于CPU和DRAM住存储器之间,规模较小,但速度很快。SDRAM正在淡出历史舞台,DDR(DoubleDate Rage RAM)在P4已经开始全面采用。DDR称为双数据率SDRAM,其特点也是在单个时钟周期的上升和下降沿内都传送数据,所以,具有比普通单数据率SDRAM多1倍的传输速度和内存带宽。对于大型应用程序和复杂的3D应用很适合。ROM主要有课电擦除课变成的EEPROM,在EPROM和EEPROM芯片技术基础上发展起来的快擦写存储器FlashMemory、利用铁点材料的极化方向来存储数据的铁电读写存储器FRAM。 二、存储器发展趋势 微处理器的而高速发展使存储器发展速度远不能满足CPU的发展要求,而且这种差距还在拉大。目前世界各大扳道器厂商,一方面在致力于成熟存储器的大容量化、高速化、低电压低功耗化、另一方面根据需要在原来成熟存储器的基础上开发各种特殊存储器。 1、存储器集成度不断提高 由于受到PC机和办公自动化设备普及要求的刺激,对DRAM需求量日益激增,再加上系统原件和应用软件在内存有越来越大要求的趋势,特别是新一代操作系统以及很多与图形图像有关的软件包都对内存容量提出了gendarme的要求,促使各大半导体窗户上那个不断投入数以亿计的巨资发展亚微米集成电路技术,提高存储器的集成度,不断推出大容量化存储器芯片。在半导体领域一直遵循着有名的“摩尔(Moore)定律”-集成度以每18月提高一倍的速度在发展。集成电路集成度越高,所需要采用的工艺线宽就越小,当达到半导体线度尺寸小于电子波长时,就会产生量子效应。为此正在发展一种称为硅量子细线技术和硅量子技术的新工艺技术,渴望吧半导体细线做到10nm,这样就可以进一步提高半导体的集成度,做出更大容量的存储器芯片。 2、高速存储器的发展 随着微处理器速度封飞速发展,存储器的发函远不能跟上微处理器速度封提高,而且两者的差距越来越大,这已经制约了计算机性能的进一步提高。目前一般把访问时间小于35ns的存储器成为高速出出气。随着时间的推移,高速存储器访问的时间将越来越小。至今SRAM与DRAM比较,速度仍让快不少。80年代末起,随着GaAs和BICMOS工艺技术封长足发展,世界各大半导体公司都在开发利用GaAs和BICMOS 工艺技术来提高SRAM的速度。 为了适应高速CPU构成高性能系统的需要,高速DRAM急速在不断发展。发展高速DRAM的途径目前一般是把注意力集中在存储器芯片的片外附加逻辑电路上,试图在片外组织连续数据流来提高单位时间内数据流量即增加存储器的带宽。 3、存储器的第工作电压低功耗化 随着用电池供电的笔记本式计算机和各种便携手带微处理器的电子产品的问世,要求尽量减少产品的体积、重量和功耗,还要求产品耐用。减小系统体积和和总量很重要

半导体存储器

第七章半导体存储器 7.1 概述 半导体存储器是一种能存储大量二值信息(或称为二值的数据)的半导体器件。 在电子计算机以及其他一些数字系统的工作过程中,都需要对大量的数据进行存储。因此,存储器也就成了这些数字系统不可少的组成部分。 由于计算机处理的数据量越来越大,运算速度越来越快,这就要求存储器具有更大的容量和更快的存取速度。通常都把存储量和存取速度作为评价存储器性能的重要指标。目前动态存储器的容量已达到109位每片,一些高速随机存储器的存取时间为10ns左右。 因为半导体存储器的存储单元数目极其庞大而器件的引脚数目有限,所以在电路结构上就不可能像寄存器那样把每个存储单元的输入和输出直接引出。为了解决这个问题,在存储器中给每个存储单元编了一个地址,只有被输入地址代码指定的那些存储单元才能与公共的输入/输出引脚接通,进行数据的读出或写入。 半导体存储器的种类很多,从功能上可以分为只读存储器和随机存储器两大类。 只读存储器在正常工作状态上只能从中读取数据,不能快速地随时修改或重新写入数据。ROM的优点是电路结构简单,而且在断电以后数据不会丢失,它的缺点是只适用于存储那些固定数据的场合。只读存储器中又有掩模ROM、可编程ROM和可擦除的可编程ROM几种不同类型。掩模ROM 中的数据在制作时已经确定,无法更改。PROM中的数据可以由用户根据自己的需要写入,但一经写入以后就不能再修改了。EPROM里的数据则不但可以由用户根据自己的需要写入,而且还能擦除重写,所以具有更大的使用灵活性。 随机存储器与只读存储器的根本区别在于,正常工作状态下就可以随时向存储器里写入数据或从中读出数据。根据所采用的存储单元工作原理的不同,又将随机存储器分为静态存储器和动态存储器。由于动态存储器存储单元的结构非常简单,所以它能达到的集成度远高于静态存储器。但是动态存储器的存取速度不如静态存储器快。 7.2 只读存储器(ROM) 7.2.1掩模只读存储器ROM 根据用户要求专门设计的掩模板把数据:“固化”在ROM中 电路结构 ROM的电路结构框图 地址译码器:将输出的地址代码翻译成相应的控制信号,把指定单元选出,其数据送输出缓冲器 输出缓冲器 ❖提高存储器带负载的能力 ❖实现输出状态三态控制, 与系统总线连接 地 址 译 码 器 存储矩阵 输出缓冲器 W W 1 W 2-1 n A A 1 A n-1 三态控制信息单元(字)存储单元 …… … … …

半导体存储器

第7章半导体存储器内容提要 半导体存储器是存储二值信息的大规模集成电路,本章主要介绍了(1)顺序存取存储器(SAM)、随机存取存储器(RAM)、只读存储器(ROM)的工作原理。 (2)各种存储器的存储单元。 (3)半导体存储器的主要技术指标和存储容量扩展方法。 (4)半导体存储器芯片的应用。 教学基本要求 掌握: (1)SAM、RAM和ROM的功能和使用方法。 (2)存储器的技术指标。 (3)用ROM实现组合逻辑电路。 理解SAM、RAM和ROM的工作原理。 了解: (1)动态CMOS反相器。 (2)动态CMOS移存单元。 (3)MOS静态及动态存储单元。 重点与难点 本章重点: (1)SAM、RAM和ROM的功能。

(2)半导体存储器使用方法(存储用量的扩展)。 (3)用ROM 实现组合逻辑电路。 本章难点:动态CMOS 反相器、动态CMOS 移存单元及MOS 静态、动态存储单元的工作原理。 7.1■■■■■■■■■ 半导体存储器是存储二值信息的大规模集成电路,是现代数字系统的 重要组成部分。半导体存储器分类如下: I 融+n 右西方性翼静态(SRAM )(六管MO 白静态存储单元) 随机存取存储器〔^^'{动态侬^1口3网又单管、三管动态则□吕存储单元) 一固定艮cmil 二极管、M 口号管) 可编程RDM (PROM )[三极管中熠丝上 可擦除可编程ROM (EPROM )[叠层栅管、雪崩j 1-电可擦除可编程良口财(EEPROM^【叠层栅管、隧道) 按制造工艺分,有双极型和MOS 型两类。双极型存储器具有工作速度快、功耗大、价格较高的特点。MOS 型存储器具有集成度高、功耗小、工艺简单、价格低等特点。 按存取方式分,有顺序存取存储器(SAM )、随机存取存储器(RAM )和只读存储器(ROM )三类。 (1)顺序存取存储器(简称SAM ):对信息的存入(写)或取出(读)是按顺序进行的,即具有“先入先出”或“先入后出”的特点。 (2)随机存取存储器(简称RAM ):可在任何时刻随机地对任意一个单元直接存取信息。根据所采用的存储单元工作原理的不同,又将随 「顺序存取存储器0财)£黑案案榭慧慧烹 半 导体存 储器 1-只读存储器(ROM )-

半导体存储器的历史与现状

半导体存储器的历史与现状 半导体存储器是现代计算机中一个极为重要的组成部分,它可 以包括动态随机存取存储器,静态随机存取存储器以及闪存存储 器等。在当今的科技发展中,半导体存储器产业也随之迅速发展。本篇文章将从半导体存储器的起源及其历史、现在半导体存储器 的发展现状、半导体存储器的未来三个部分进行较为详细地探讨。 一、半导体存储器的起源及其历史 半导体存储器的发展始于20世纪50年代末到60年代初,当时的计算机运算速度非常缓慢,而计算机使用的纸带和磁带等传统 存储介质也存在一系列问题。为了解决这些问题,人们开始研制 半导体存储器。早期的半导体存储器主要是采用磁芯存储器、电 容存储器等集成电路实现。 1970年代,随着半导体器件工艺和技术的迅速发展,电子存储 器的应用开始迅速普及。80年代,动态随机存取存储器(DRAM)和静态随机存取存储器(SRAM)逐渐发展成为主要的半导体存 储器类型,并广泛应用于微处理器、计算机、手机等电子设备中。在存储容量和速度方面,半导体存储器也在不断提升,形成了DRAM、SRAM、闪存等多种类型,这些存储器以不同的价格和

功能等作为不同物理尺寸的计算机系统中存储器层次结构的不同层。 二、现在半导体存储器的发展现状 当今,半导体存储器产业不仅是一个庞大、复杂的系统,而且是一个进步非常迅速的系统。现在,半导体存储器的使用和数量已经飙升。目前,电子存储器的使用已经广泛应用到了计算机、手机、便携设备等各类电子设备中,并且代表性质的半导体存储器如DRAM、NAND闪存等也已加入了存储器层次结构等多个方面。 随着移动互联网的兴起,越来越多的人们开始使用手机、平板电脑和笔记本电脑等便携设备。这对半导体存储器产业提出了新的挑战,即提高其功耗和性能等方面。在这方面,半导体存储器的技术不断进步,DRAM、NAND闪存、SRAM和TF/CF卡等多种存储器类型已进入产业化阶段。此外,半导体存储器产业已经形成了以三星、SK海力士、东芝、西部数据等为代表的几大存储器生产商,并逐渐成为一种重要的技术产业。 三、半导体存储器的未来

第七章 半导体存储器 半导体存储器的分类

第七章 半导体存储器 数字信息在运算或处理过程中,需要使用专门的存储器进行较长时间的存储,正是因为有了存储器,计算机才有了对信息的记忆功能。存储器的种类很多,本章主要讨论半导体存储器。半导体存储器以其品种多、容量大、速度快、耗电省、体积小、操作方便、维护容易等优点,在数字设备中得到广泛应用。目前,微型计算机的内存普遍采用了大容量的半导体存储器。 存储器——用以存储一系列二进制数码的器件。 半导体存储器的分类 根据使用功能的不同,半导体存储器可分为随机存取存储器(RAM —Random Access Memory )和只读存储器(ROM —Read-Only memory )。 按照存储机理的不同,RAM 又可分为静态RAM 和动态RAM 。 存储器的容量 存储器的容量=字长(n )×字数(m ) 7.1随机存取存储器(RAM ) 随机存取存储器简称RAM ,也叫做读/写存储器,既能方便地读出所存数据,又能随时写入新的数据。RAM 的缺点是数据的易失性,即一旦掉电,所存的数据全部丢失。 一. RAM 的基本结构 由存储矩阵、地址译码器、读写控制器、输入/输出控制、片选控制等几部分组成。 存储矩阵 读/写控制器 地址译码器 地 址码输片选读/写控制输入/输出 入 图7.1—1 RAM 的结构示意框图 1. 存储矩阵 RAM 的核心部分是一个寄存器矩阵,用来存储信息,称为存储矩阵。

图7.1—5所示是1024×1位的存储矩阵和地址译码器。属多字1位结构,1024个字排列成32×32的矩阵,中间的每一个小方块代表一个存储单元。为了存取方便,给它们编上号,32行编号为X 0、X 1、…、X 31,32列编号为Y 0、Y 1、…、Y 31。这样每一个存储单元都有了一个固定的编号(X i 行、Y j 列),称为地址。 1 1 1 1 31 31 131******** 列 译 码 器 行译码器 .. .........位线 位线 位线 位线 位线 位线 . .. . . . . X X X Y Y Y 0 1 31 131 A A A A A A A A A A 地 址 输 入 地址 输入 012 34 5 67 89 D D 数据线 . .. . 图7.1-5 1024×1位RAM 的存储矩阵 2. 址译码器 址译码器的作用,是将寄存器地址所对应的二进制数译成有效的行选信号和列选信号,从而选中该存储单元。 存储器中的地址译码器常用双译码结构。上例中,行地址译码器用5输入32输出的译码器,地址线(译码器的输入)为A 0、A 1 、…、A 4,输出为X 0、X 1、…、X 31;列地址译码器也用5输入32输出的译码器,地址线(译码器的输入)为A 5、A 6 、…、A 9,输出为Y 0、Y 1、…、Y 31,这样共有10条地址线。例如,输入地址码A 9A 8A 7A 6A 5A 4A 3A 2A 1A 0=0000000001,则行选线X 1=1、列选线Y 0=1,选中第X 1行第Y 0列的那个存储单元。从而对该寄存器进行数据的读出或写入。 3. 读/写控制 访问RAM 时,对被选中的寄存器,究竟是读还是写,通过读/写控制线进行控制。如果是读,则被选中单元存储的数据经数据线、输入/输出线传送给CPU ;如果是写,则CPU 将数据经过输入/输出线、数据线存入被选中单元。 一般RAM 的读/写控制线高电平为读,低电平为写;也有的RAM 读/写控制线是分开的,一根为读,另一根为写。

半导体存储器件的优化设计

半导体存储器件的优化设计 随着信息技术的发展和普及,电子产品的使用频率也日益增加。这时,人们对于半导体存储器件的要求也越来越高,需要半导体 存储器件具备更快的读写速度、更大的存储容量及更好的可靠性。所以,半导体存储器件的优化设计已成为当前存储技术研究的重 要领域。 1. 材料优化设计 半导体存储器件的材料优化设计主要包括两方面:材料的物理 性能及材料制备工艺。 (1)物理性能 半导体存储器件材料的物理性能直接影响其读写性能、存储容 量和热稳定性等方面。对于目前常见的闪存存储器件,其主要材 料是硅基材料和氧化硅(SiO2)。通常情况下,硅基材料被用来 制作浮栅,而氧化硅则被用来制作隧道氧化物。在材料制备时, 需要减少扰动电子的缺陷、提高材料的纯度及可控性,从而提高 存储器件的性能。

(2)制备工艺 半导体存储器件的制备工艺设计十分重要。其中最主要的工艺设计是生成间隔层,即材料中的填充层。填充层应具备较高的绝缘性和化学稳定性,以防止电子漏电。同时,填充层也必须减少材料中发生的缺陷,以达到更好的纯度和可靠性。 2. 电路设计 电路设计是半导体存储器件优化设计不可或缺的部分之一,主要包括工艺优化、电路设计、快速扫描及故障检测等方面。 (1)工艺优化 半导体存储器件的工艺优化旨在提高半导体存储器件的可靠性和稳定性。其中包括通过微观加工技术优化电线的宽度、采用多层铝电缆、采用低导热介质等技术,提高存储器件的集成度和稳定性。

(2)电路设计 半导体存储器件的电路设计旨在提高存储器件的读写速度和存储容量。对于动态随机存储器(DRAM),需要尽可能缩短访问时间和提高容量,减少引线电阻和电容等。对于闪存存储器件(如SD卡),则需要采用多位并行访问来提高读写速度,并使用SLC单层贮存极性介电层,从而提高闪存的热稳定性。 (3)快速扫描及故障检测 快速扫描和故障检测是半导体存储器件制造过程中必不可少的环节。通过对电路进行快速扫描,可以快速发现故障,避免产品损耗或清洗等额外的工作。同时,也可以为生产提供更高效的工作条件,并减少生产成本。 综上所述,半导体存储器件的优化设计是一个复杂而重要的领域,它对于电子产品的发展和改善都有着至关重要的意义。在今后的半导体存储器件研究中,应强调材料的物理性能和制备工艺优化,提高存储器件的性能;同时,也应注重电路设计、快速扫描及故障检测等方面,以保证存储器件的可靠性和稳定性。

《现代半导体集成电路原理》课程教学大纲

《现代半导体集成电路原理》课程教学大纲 课程编号:0603069 课程总学时/学分:54/3(其中理论54学时,实验0学时) 课程类别:专业限选课 一、教学目的和任务: 现代半导体集成电路原理是电子科学与技术、微电子科学与工程专业的一门主干课,是一门发展快、应用广、实践性强的课程。通过课程的教学,使学生掌握模拟、数字集成电路(IC)的基本知识;掌握各单元电路的功能及分析方法;掌握IC设计、IC线路分析和版图设计的方法;进一步理解和学习器件工艺原理和集成电路的分析与设计等知识,启迪学生在微电子集成器件及材料学科领域的创新意识;并能综合运用本课程知识,提高阅读、分析和改进IC线路和版图的思维能力,适应半导体器件和集成电路技术的飞速发展。 二、教学基本要求: 该课程在学习完《电路分析》、《模拟电路》、《数字电路》、《半导体物理》等课程的基础上,全面系统地介绍半导体集成电路的基本原理、基本电路和基本分析方法。课程全部内容分为四部分:第一部分介绍集成电路的基础知识和基本电路模型,第二部分主要介绍模拟、数字集成电路的具体元件构成和功能模块及其作用原理,第三部分CMOS开关电容电路、数据转换电路及接口电路的原理,第四部分讨论集成电路的设计方法和步骤。本课程要求学生了解双极性集成电路和MOS集成电路的工艺特点;掌握双极性集成电路中TTL、ECL、I2L电路和CMOS 集成电路的组成和工作原理;要求学生掌握集成电路传统设计方法和近现代设计方法的差异以及典型的集成电路设计方法;能进行电路图设计并对电路进行直流分析、瞬态分析;能利用设计软件进行版图设计,满足设计规则,并进行版图与电路图一致性的检查,得到最终的版图,并且对版图进行验证,提取相关参数。 三、教学内容及学时分配: 第一章集成电路器件与模型(2学时) 教学要求:1、掌握MOS晶体管原理、模型及特性效应; 2、掌握双极性晶体管原理及大、小信号模型;

半导体存储器概述

半导体存储器概述 半导体存储器(Semiconductor Memory)是一种用于存储和读取数字信息的电子设备,广泛应用于计算机、通信设备、嵌入式系统等各种电子设备中。相比于传统的磁性存储器,半导体存储器具有速度快、功耗低以及体积小等优点,因此在现代电子设备中得到广泛使用。 半导体存储器的基本构成单元是存储单元,它是由一个或多个存储单元组成,每个存储单元可以存储一个或多个二进制位的信息。存储单元可以分为静态存储单元(Static Random Access Memory,SRAM)和动态存储单元(Dynamic Random Access Memory,DRAM)两类。 静态存储单元由6个晶体管组成,其中包括两个交叉连接的反相非门(Inverter),一个传输门(Transfer Gate)和两个位线连接器(Bit Line)。SRAM主要用于高速缓存等需要快速访问和读写的场景中,速度快、性能好,但是价格昂贵且功耗较高。 动态存储单元则由一个电容和一个开关管组成,电容用于存储信息,开关管用于控制读写操作。DRAM的存储单元面积小,功耗低,但是随着时间的推移,电容中存储的电荷会逐渐泄漏导致信息丧失,因此需要定期刷新。DRAM被广泛应用于主存储器(Main Memory)中。 除了SRAM和DRAM之外,还有一些其他的半导体存储器类型,如闪存(Flash Memory)、EEPROM(Electrically Erasable Programmable Read-Only Memory)和EPROM(Erasable Programmable Read-Only Memory)等。

半导体存储器原理实验报告

_管理_学院__信息管理与信息系统_专业_2_班______组、学号3109005713___姓名_吴兴平_ ___协作者_林敬然__________ 教师评定_____________ 半导体存储器原理实验 1.实验目的与要求: 实验目的:(1)掌握静态存储器的工作特性及使用方法。(2)掌握半导体随机存储器如何存储和读取数据。 实验要求:按练习一和练习二的要求完成相应的操作,并填写表2.1各控制端的状态及记录表2.2的写入和读出操作过程。 2. 实验方案: (1)使用了一片6116静态RAM(2048×8位),但地址端A8-A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7-D0接至数据总线。 (2)使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7-A0,所以存储单元的地址由地址存储器AR提供。 (3)数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS245与数据总线相连,分别给出地址和数据。 (4)地址显示灯A D7-AD0与6116地址线相连,用来显示存储单元的地址,数据总线上的显示灯B7-B0用来显示写入存储单元的数据或从存储单元读出的数据。 (5)存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE=0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。

(6)LDAR是地址存储器AR存数控制信号。 (7)按图连接好实验电路,检查无误后通电。 (8)将表2.2的地址和内容转化为二进制。 (9)参考以上操作,向存储器单元里先写第一个单元的地址、然后向第一个地址,再写第二个地址,然后向第二个地址单元写内容,就这样不断循环操作,直到做完。 3. 实验结果和数据处理: (1)填写表2.1各控制端的状态。如下图所示: 表2.1 向存储器的00H,01H,02H,03H,04H,05H,06H地址单元分别写入数据AAH,55H,33H,44H,66H,08H,F0H(十六进制),如表所示:

半导体存储器的主要技术指标 -回复

半导体存储器的主要技术指标-回复 半导体存储器是一种用于计算机和电子设备中存储数据的重要组件。它通过在集成电路上存储电荷或电流来实现。半导体存储器的主要技术指标包括存储容量、访问速度、功耗、稳定性和成本等。 首先,我们来看半导体存储器的存储容量。存储容量是指单个存储器单元所能存储的二进制信息的多少。常见的存储容量单位有位(bit)、字节(byte)、千字节(kilobyte)、兆字节(megabyte)、千兆字节(gigabyte)等。存储容量的提升意味着存储器能够存储更多的数据,这对于大型应用程序和数据密集型任务非常重要。 其次,访问速度是指存储器从接收到访问指令到能够输出所需数据的时间。访问速度越快,计算机系统执行任务的效率就越高。常见的访问速度单位为纳秒(ns)。技术发展使得存储器的访问速度逐渐提高,从最早的毫秒级到现在的纳秒级。 第三,在讨论半导体存储器的主要技术指标时,功耗也是一个重要的指标。功耗是指存储器在工作过程中消耗的能量。功耗的降低对于延长电池寿命、降低能源消耗和减少系统热量都非常重要。因此,半导体存储器技术的不断创新旨在降低功耗并提高能效。 此外,稳定性也是衡量半导体存储器性能的重要指标。稳定性是指在不同

环境条件下存储器能否可靠地保持数据的一致性。随着存储容量的增加和集成度的提高,存储器单元之间的相互干扰和位错问题变得更加复杂。因此,提高半导体存储器的稳定性对于确保数据的可靠性至关重要。 最后,成本也是半导体存储器技术指标中需要考虑的因素之一。存储器的成本通常与存储容量、访问速度和功耗等相关。随着技术的进步和生产规模的扩大,存储器的成本不断下降,使得越来越多的消费者可以享受到高性能存储器的便利。 总之,半导体存储器的主要技术指标包括存储容量、访问速度、功耗、稳定性和成本等。随着技术的不断发展和创新,存储容量不断提高,访问速度不断加快,功耗不断降低,稳定性不断增强,成本不断下降,为计算机和电子设备的性能提升提供了强大的支持。未来,我们可以期待半导体存储器技术的持续创新,为各种应用场景提供更加高效、可靠和经济的存储解决方案。

第八章半导体存储器教学目的:.只读存储器电路结构及特点

第八章半导体存储器 教学目的: 1. 只读存储器(ROM)电路结构及特点。 2. 随机存取存储器(RAM)的电路结构及特点。1.了解存储器容量的扩展方法 2.掌握用存储器实现组合逻辑函数的方法 3. 多片RAM 的字和位同时扩展。 4. ROM和RAM 集成芯片的功能。 教学重点: 1.存储器的种类和各自的特点 2. ROM 电路的组成和工作原理 3.RAM电路的组成、工作原理和主要控制端的功能用存储器设计组合逻辑电路的原理和方法 教学难点: ROM存储单元读写方法稍显繁琐RAM电路的工作原理和主要控制端的功能有一些难度用存储器实现组合逻辑函数 教学方法:理论教学启发式教学 教学学时:6学时 第一节概述 一、存储器的基本概念 存储器是能够存储大量二进制信息的半导体器件,如可以存放各种程序、数据和资料等。存储器是数字系统和计算机中不可缺少的组成部分,半导体存储器因具有容量大、体积小、功耗低、存取速度快、使用寿命长等特点,在数字系统中应用很广泛。 二、存储器的分类 半导体存储器的种类很多,按照存取功能的不同,存储器分为只读存储器(Read-Only Memory,简称ROM)、随机存取存储器(Read Access Memory,简称RAM)和可编程逻辑阵列(PLD)三大类;按照制造工艺分类,存储器可以分为双极型和MOS型两种;按照应用类型 分为通用型和专用型两种。MOS型存储器以功耗低及集成度高等优势在大容量存储器中应用广泛。 第二节只读存储器 只读存储器ROM是一种存储固定信息的存储器。其特点是在正常工作状态下只能读取数据,不能即时修改或重新写入数据。只读存储器电路结构简单且存放的数据在断电后不会丢失,特别适用于存储永久性的、不变的程序码数据,如常数表、函数、表格和字符等,计算机中的自检程序就是固化在ROM中的。只读存储器有掩膜

半导体存储器的设计与测试

半导体存储器的设计与测试随着时代的发展,半导体存储器在现代电子领域中扮演着非常重要的角色。它不仅是计算机、手机、平板电脑等电子产品的重要组成部分,更是人们日常生活中不可或缺的设备,具有非常重要的作用。而为了确保半导体存储器的性能和可靠性,必须对其进行设计和测试。 一、半导体存储器的设计 半导体存储器的设计是一个非常复杂的过程。设计人员需要研究各种原理、技术和方法,才能开发出具有高速、高效、大容量的存储器芯片。 首先,半导体存储器的设计需要考虑芯片的结构和工艺技术。按照存储单元的组织形式,可将半导体存储器分为静态随机存取存储器(SRAM)和动态随机存取存储器(DRAM)两种类型。SRAM 有较大的存储单元,速度快,但电路复杂,占用面积大;DRAM 小而简单,但需要刷新,速度稍慢。根据应用需求和成本等方面进行考虑,针对不同要求设计出适用的芯片结构。

其次,设计人员需要考虑电路的可靠性。半导体芯片在长时间的应用中,可能会受到各种因素的影响,如电压的变化、温度的升高以及电路老化等。因此,在设计时需要考虑这些因素,并尽量保证电路的稳定性和可靠性。 最后,半导体存储器的设计需要严格遵循相关的标准和规范。例如,存储器基本形式、数据格式、接口协议等等,都需要参照相应的规范进行设计,以确保高质量的存储器芯片生产出来。 二、半导体存储器的测试 半导体存储器的测试是确保芯片质量的重要手段。通过各种测试手段,可以全面评估半导体存储器的性能和可靠性,提高它的生产效率和产品质量。 首先,测试人员需要进行前端测试。前端测试是指在生产过程的前期对产品进行一系列的测试,以发现芯片制造过程中的不足和缺陷。通过集成电路测试器,测试人员可以对半导体存储器进行多种测试,如功耗测试、时序测试、容量测试、失效分析等。每种测试需依据制造工艺不同,设计好测试程序,一个一个死龙摆尾流水线测试。

半导体存储器工作原理和最新技术

半导体存储器工作原理和最新技术随着现代社会的快速发展,信息技术技术的发展也日新月异。作为信息技术中不可或缺的部分,存储器技术一直在不断地更新发展。其中,半导体存储器作为一种重要的存储器类型,其工作原理和最新技术备受人们关注。 一、半导体存储器工作原理 半导体存储器是一种将位于半导体芯片上的电荷量代表数据的存储器。半导体存储器主要分为两大类:随机访问存储器(RAM)和只读存储器(ROM)。 1.1 随机访问存储器(RAM) RAM分为动态随机访问存储器(DRAM)和静态随机访问存储器(SRAM)两种。DRAM的存储单元为电容器,单元大小为1位。SRAM的存储单元为双稳态触发器,单元大小为1至4位。 DRAM的电容器存储单元会因电容器内部漏载而持续降低,因此需要周期性地重新刷新。此外,DRAM单元还需要进行复杂的

读写时间控制。SRAM则不需要刷新电容器和时间控制,但存储单元占用面积较大,并需要额外的电源驱动。 1.2 只读存储器(ROM) ROM是一种只可读取而不能修改的存储器。ROM中存储单元的电荷量是由制成时设置的金属焊点决定,即“掩膜”制造技术,这种存储器能够非常方便地实现电路的控制功能。 二、半导体存储器最新技术 半导体存储器技术也在不断更新发展中。这里将介绍三种最新的半导体存储器技术。 2.1 革命性大规模存储器技术 革命性大规模存储器技术是一种新的存储器类型,它能够实现超过TB级别的数据存储。这种存储器采用叠层非易失存储器和InP HEMT收发器,能够实现一次读取数百Gbits的数据,传输速度极快。

2.2 基于电容器的晶体管门极控制技术 基于电容器的晶体管门极控制技术是实现高密度存储的一种方法。目前的主流半导体存储器采用平面电容器单元,但其占用面 积较大。所以,一种新的基于电容器的晶体管门极控制技术被提出。 这种新技术利用了电容器单元与相邻晶体管的栅极之间的短距 离联系,降低了存储单元面积,同时提升了数据存取速度。 2.3 基于氧化硅和二氧化硅的存储器技术 基于氧化硅和二氧化硅的存储器技术被广泛应用于普通高密度 存储器。这种存储器技术可以提高存储器的稳定性、速度和密度。 在这种技术中,氧化硅薄膜被用作隔离层,将半导体存储单元 与电极分开。同时,二氧化硅被用作隔离层,提高存储器单元的 稳定性。

相关主题
文本预览
相关文档 最新文档