当前位置:文档之家› 长沙理工大学数字电子技术试卷

长沙理工大学数字电子技术试卷

长沙理工大学数字电子技术试卷
长沙理工大学数字电子技术试卷

长沙理工大学考试试卷2

………………………………………………………………………………………………………

试卷编号 2 拟题教研室(或教师)签名 教研室主任签名

………………………………………………………………………………………………………

课程名称(含档次) 课程代号

专 业 电子信息工程 层次(本、专) 本科 考试方式(开、闭卷) 闭卷 一、填空(每空1分,共20分)

1、二进制数101001对应的八进制数为 、十六进制数为 。

2、十进制数59对应的二进制数为 、8421BCD 码为 。

3、逻辑函数D C AB L +=的对偶函数为 、反函数为 。

4、逻辑函数C A AB C B A L +=),,(的最小项表达式为 。

5、描述时序电路逻辑功能的方程有输出方程、激励方程和 方程。

6、555定时器构成的基本施密特触发器没有外接控制电压时,正、负向阈值电压分别为 和 ,回差电压为 。

7、8位并行比较型A/D 转换器中的电压比较器有 个。 8、7位D/A 转换器的分辨率为 。

9、A/D 转换器的一般工作过程有取样与 , 与编码。 10、集成单稳态触发器分为 和 两种类型。

11、555定时器由 、电压比较器、 、放电三极管和 组成。 二、选择题(10分)

1、 与图1所示波形相对应的真值表是 。

第 1 页 (共4 页)

2、欲将正弦信号转换成与之频率相同的脉冲信号,应用 。 A.T ,触发器 B.施密特触发器 C.A/D 转换器 D.移位寄存器

3、译码器的输入地址线为4根,那么输出线为多少根

A 、8

B 、12

C 、16

D 、20

4、 某时序电路的状态图如图4所示,该电路为 。

A 、 四进制加计数器;

B 、 四进制计数器;

C 、 五进制加计数器;

D 、 五进制计数器。

5、某时序电路如图5所示,若在输入端CP 加入10Z KH 的脉冲波形,则该电路输出端Z 的频率为 。

A 、Z KH 5.2;

B 、Z KH 5;

C 、 Z KH 20

D 、 Z KH 40 。

三、化简题(15分)

1、用公式法将函数化为最简与或式Y=A B +B C +A +B +ABC

2、用卡诺图法将下列函数化为最简与或式Y(A,B,C,D)= )(107653

m ,m ,,m m ,m

,给定的约

束条件为m 0+ m 1+m 2+m 4+m 8=0

四、某组合逻辑电路的输入、输出信号的波形如图3所示。(15分)

1)写出电路的逻辑函数表达式; 2)用卡诺图化简逻辑函数;

3)用8选1数据选择器74HC151(图4所示)实现该逻辑函数。

第 2 页 (共4 页)

A

B C

D

L

输入

输出(LSB

)(MSB )图3 图4

五、分析图5所示的电路,分析电路的功能,判断能否自启动。要求写出电路的驱动方程、

状态方程和输出方程,画出电路的状态图。(20分)

六、试分析图6所示电路,说明它是多少进制的计数器。

10分)

七、图7是由555定时器组成的多谐震荡器电路。(10分)

(1)计算震荡周期T 、脉冲宽度PH t 和占空比;(2)画出电容两端电压C v 和输出电压O v 的波形。

第 3 页 (共4 页)

第4 页(共4 页)

长沙理工大学考试试卷3 ………………………………………………………………………………………………………试卷编号 3 拟题教研室(或教师)签名教研室主任签名………………………………………………………………………………………………………课程名称(含档次)课程代号

专业电子信息工程层次(本、专)本科考试方式(开、闭卷)闭卷

一、填空题(每小题2分,共20分)

1、十数制数2006.375转换为二进制数是十进制数128的8421BCD码是。

2、写出四种逻辑函数的表示方法:

_______________________________________________________________;

3、逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑;

4、把JK触发器改成T触发器的方法是_____________。

5、组合逻辑电路是指电路的输出仅由当前的_____________决定。

6、 5个地址输入端译码器,其译码输出信号最多应有_____________个。

7、输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。

8、一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。

9、N个触发器组成的计数器最多可以组成_____________进制的计数器。

10、基本RS触发器的约束条件是_____________。

二、单项选择题:(10分。)

1、已知函数F的卡诺图如图1, 试求其最简与或表达

图1

第 5 页(共3 页)

2、欲将正弦信号转换成与之频率相同的脉冲信号,应用

A.T ,

触发器 B.施密特触发器 C.A/D 转换器 D.移位寄存器

3、下列A/D 转换器中转换速度最快的是 。

A.并联比较型

B.双积分型

C.计数型

D.逐次渐近型 4、一个含有32768个存储单元的ROM ,有8个数据输出端,其地址输入端有 个。

A. 10

B. 11

C. 12

D. 8 5、单稳态触发器的输出脉冲的宽度取决于 。 A. 触发脉冲的宽度 B. 触发脉冲的幅度 C. 电路本身的电容、电阻的参数 D. 电源电压的大小 三、化简题(14分)

1、用公式法化简函数为最简与或式:

C B B

D ABC D BC ABD D ABC Y +++++=

2、用卡诺图化简下面函数,求出最简“与-或”表达式。

)15,14,13,12,11,10()9,8,7,6,5,3,2,0()D ,C ,B ,A (Y d m ∑+∑==

四、设计一个三变量判偶电路,当输入变量A ,B ,C 中有偶数个1时,其输出为1;否则输出为0。并用3/8线译码器(74LS138)和适当门电路实现。(16分)

五、分析电路的逻辑功。能要求写出驱动方程、状态方程、填写状态转换表,画状态转换图、说明电路的功能,能否自启动。(20分)

六、由555定时器和4位二进制加计数器74HC161组成的时序电路如图8所示。(20分)

1、试问555定时器组成的是什么功能电路?计算输出信号o1v 的周期;

2、试问74HC161组成多少进制计数器?画出其状态图;

3、设计数器初始状态为00000123 Q Q Q Q ,计数器循环计数一个周期所需时间大约是多少?

R

C R

140k 1.5k

长沙理工大学考试试卷4

………………………………………………………………………………………………………

试卷编号 4 拟题教研室(或教师)签名 教研室主任签名

………………………………………………………………………………………………………

课程名称(含档次) 课程代号

专 业 电子信息工程 层次(本、专) 本科 考试方式(开、闭卷) 闭卷 一、填空:(20分) 1、(1001101)2=( )10=( )8=( )16;

(27)10=(

)8421BCD 。

2、客观事物的最基本的逻辑关系有____ 逻辑____ 逻辑和_____逻辑三种。

3、函数1F AB BC =+的反演式1F = ;函数2F A BC =+的对偶式

'2F = 。

4、51个“1”连续进行异或运算,其结果是 。

5、基本R-S 触发器的特征方程为_______ ;约束条件是 。

6、按照逻辑功能的不同特点,数字电路可分为______________、_____________两大类。

7、J-K 触发器,当J=K=0时,触发器处于_________状态;J=0、K=1时,触发器状态为________;K=0、J=1时,触发器状态为_________;J=K=1时,触发器状态__________。

8、某中规模寄存器内有3个触发器,用它构成的扭环型计数器模长为 ;构成最长模计数器模长为 。 二、简答题(每小题5分,共15分) 1、用基本公式和定理证明下列等式:

()ABC BC A C AB B C AB ++=+

2、给出J-K 触发器的特征方程,状态转移真值表,状态转移图。

3、请回答两个状态等价的条件是什么?

三、一个组合电路具有三个输入端X 、Y 、Z ,一个输出端L ,其输入和输出波形如图所示,试用 3线—8线译码器74138设计电路,实现其功能。(15分)

第 8 页 (共3 页)

四、已知逻辑电路及时钟CP 和X 的波形如图8所示,试写出触发器的驱动方程、状态方程,并画出触发器输出端1Q 和2Q 的波形,设触发器的初始状态为0。(15分)

五、用上升沿JK 触发器设计一个按自然态序进行计数的可控模值同步加法计数器,当M=0时为7进制,当M=1时为5进制。(20分)

要求:(1)分析设计要求,建立原始状态图和状态表; (2)求出最简状态函数; (3)系统要求有自启动功能;

六、、如图所示是倒T 形电阻网络D/A 转换器。已知Ω==k 10f R R ,V 10REF -=V ,试求(15分)

(1)O v 的输出范围;

(2)当01100123=D D D D 时,?O =v

长沙理工大学考试试卷5

………………………………………………………………………………………………………

试卷编号 5 拟题教研室(或教师)签名 教研室主任签名

………………………………………………………………………………………………………

课程名称(含档次) 课程代号

专 业 电子信息工程 层次(本、专) 本科 考试方式(开、闭卷) 闭卷 一、填空题:(20分) 1. 数制转换: (8F)16 = ( )10= ( )2 = ( )8。

(3EC)H = ( )D ,(2003) D = ( )B = ( )O 。

2. 有一数码10010011,作为自然二进制数时,它相当于十进制数 ,作为8421BCD 码时,它相当于十进制数 。

3. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =

4. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码。

5. 在TTL 门电路的一个输入端与地之间接一个10K Ω电阻,则相当于在该输入端输入 电平;

在CMOS 门电路的输入端与电源之间接一个1K Ω电阻,相当于在该输入端输入 电平。 6.TTL 电路的电源电压为 V , CMOS 电路的电源电压为 V 。

7. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为 。

8. 一个10位地址码、8位输出的ROM ,其存储容量为 。

9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有 根地址线,有 根数据读出线。

10 .能够实现“线与”的TTL 门电路叫 ,能够实现“线与”的CMOS 门电路叫 。 二、选择题(20分)

1、十进制数3.625的二进制数和8421BCD 码分别为( )。

A. 11.11和11.001

B. 11.101和0011.011000100101

C. 11.11和11.011000100101

D. 11.101和11.101

2、下列几种说法中错误的是( )。

A. 任何逻辑函数都可以用卡诺图表示

B. 逻辑函数的卡诺图是唯一的

C. 同一个卡诺图化简结果可能不是唯一的

D. 卡诺图中的1的个数和0的个数相同

3、和TTL 电路相比,CMOS 电路最突出的优点在于( )。

A. 可靠性高

B. 抗干扰能力强

C. 速度快

D. 功耗低

4、为了把串行输入的数据转换为并行输出的数据,可以使用( )。

A. 寄存器

B. 移位寄存器

C. 计数器

D. 加法器

5、单稳态触发器的输出脉冲的宽度取决于( )。

A. 触发脉冲的宽度

B. 触发脉冲的幅度

C. 电路本身的电容、电阻的参数

D. 电源电压的大小 6、为了提高多谐振荡器频率的稳定性,最有效的方法是( )。

A. 提高电容、电阻的精度

B. 提高电源的稳定度

C. 采用石英晶体振荡器

D. 保持环境温度不变

7、已知时钟脉冲频率为cp f ,欲得到频率为0.2cp f 的矩形波应采用( )。

A. 五进制计数器

B. 5位二进制计数器

C. 单稳态触发器

D. 多谐振荡器

8、在图1用555定时器组成的施密特触发器电路中,它的回差电压等于( )。

A. 5V

B. 2V

C. 4V

D. 3V

+5V

v Q 1

图1 图2

9、设图2所示电路的现态0001=Q Q ,经三个CP 脉冲后的状态=01Q Q ( )。

A. 00

B. 01

C. 10

D. 11 10、米利型时序逻辑电路的输出( )。

A. 只与当前输入信号有关

B. 只与内部状态有关

C. 与输入信号和内部状态都有关

D. 与输入信号和内部状态都无关

第 2 页 (共4 页)

二、化简(14分) 1、公式化简

(1)Y ABC A B C =+++ 2、用卡诺图法化简下列逻辑函数

(1,3,4,9,11,12,14,15)(5,6,7,13)m d Y =∑+∑

三、8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出下图所示电路输出端F 的最简与或形式的表达式。(15分)

四、分析下面电路,说明其功能,能否自启动。要求写出其驱动方程、状态方程,画出状态转换图。(15分)

五、试用负边沿D 触发器设计一同步时序逻辑电路,其状态图如下图所示。(16分)

1)列出状态表;

2)写出驱动方程、状态方程和输出方程。

表4.1 CC4512功能表

第 3 页(共4 页)

第4 页(共4 页)

长沙理工大学考试试卷1参考答案

试卷编号 1 拟题教研室(或教师)签名 教研室主任签名

………………………………………………………………………………………………………

课程名称(含档次) 课程代号

专 业 电子信息工程 层次(本、专) 本科 考试方式(开、闭卷) 闭卷 一、填空题:(20 分)(每空2分)

1、 (10E.49)16 , (1111000)2 ,(000101011000)8421BCD 码

2、 ()

D C C B A L +++=, ()D C C B A L +++=′

3、 11

4、 保持、编码

5、 输入

6、210

×8 二、选择题(从下列各题的四个备选答案中选出一个正确答案,并将其代号填在括号内)(20

分)(10分)

1、D

2、C

3、A

4、C

5、D 三、(10分)

BD A D B C A B A L +++= D C B A D C B AB L ++= )+++)(++)(+(=D C B A D C B B A L

四、(15分)

第1 页 (共2 页)

五、(15分)

六、(15分)n

XQ Z 0= n

Q X D 00= n

XQ D 01=

n n Q X D Q 001

==+ n

n XQ D Q 0111==+

Q 1n Q 0n Q 1n+1Q 0n+1/Z X=0X=100/00 0

0 11 0

1 1

00/000/000/0

01/010/101/010/1

CP X Q 0Q 1

Z

七、(15分)

()()210100011070=

第2 页 (共2 页)

长沙理工大学考试试卷2参考答案

试卷编号 2 拟题教研室(或教师)签名 教研室主任签名

………………………………………………………………………………………………………

课程名称(含档次) 课程代号

专 业 电子信息工程 层次(本、专) 本科 考试方式(开、闭卷) 闭卷 三、填空题:(每空1分,共20 分)

1、 ()851 , ()1629

2、 ()2111011 , ()8421B C D

码01011001 3、 ()()D C B A L ++=',()

()D C B A L ++= 4、

ABC C AB BC A C B A A L +++=)(,

5、 状态

6、 CC 32V CC 31V CC 3

1

V 7、 255 8、

1

21

7- 9、 保持 量化 10、 不可重复触发 可重复触发 11、 分压器 SR 锁存器 缓冲器 四、选择题(10分)

1、A

2、B

3、C

4、D

5、A 三、(15分)

1、Y=1

2、Y=B D +A 四、(15分)

1)()BCD A CD B A CD B A D BC A D C B A D C B A D C B A L +++++=,,,

()∑=11,10,7,6,3,2m

0110001100000

1

1

AB

CD 00011110

00011110

2)()C B C A C B A L +=,,

3)()(1,3,5),,m ∑=++=C B A BC A C B A C B A L

1

五、(20分)

n

n

Q Q J 210=;1=0K ;01=Q J ;n n Q Q K 201=;n n Q Q J 102=;n Q K 12=

n

n n n Q Q Q Q 0121+0=;n n n n n n Q Q Q Q Q Q 102101+1+=;

n n n n

n

n Q Q Q Q Q Q 21201

1+2+=;n n n

n n n Q Q Q Q Q Q Z 012012=++=

七进制计数器,能自启动。 六、 (10分)

(a) 六进制加计数器

(b) 九进制加计数器 七、(10分)

ms

..C R .t 121011037070631PH =????=?=-ms ..C R .t 411011027070632PL =????=?=-

ms .t t T

53PL PH =+= 占空比%..T t 605

31

2PH ===

长沙理工大学考试试卷3参考答案

试卷编号 3 拟题教研室(或教师)签名 教研室主任签名

………………………………………………………………………………………………………

课程名称(含档次) 课程代号

专 业 电子信息工程 层次(本、专) 本科 考试方式(开、闭卷) 闭卷 一、填空题(每小题2分,共20分) 1. 11111010110.011 ,000100101000

2.真值表、逻辑电路图、逻辑函数式、卡诺图。

3.正逻辑

4. J=K=T 。

5. 输入。

6. 32。

7. 竞争-冒险现象。 8. 8192 9. 2n 10. RS=0。 二、选择题(10分)

1、C

2、B

3、A

4、C

5、C 三、化简题(14分) 1、B

2、BD D B C A Y +++= 四、(16分)

653653653m m m m m m m m m Y ??=++=++=

五、(20分)

n 2n 10Q Q J ?=;1K 0=;01Q J =;n

2n

01Q Q K ?=;n

1n 02Q Q J ?=;n

12Q K =

n

n 1n 21

n 0Q Q Q Q ??=+;

n

1

n 0n 2n 1n 01

n 1

Q Q Q Q Q Q ??+?=+;

n

2n

1n 2n

0n

11

n 2

Q Q Q Q Q Q ?+??=+;n

0n

1n

2n

0n

1n 2Q Q Q Q Q Q Z ??=++=

七进制同步计数器,能自启动。 六、(20分)

1、555定时器组成多谐振荡器。

输出信号o v 的周期为:C R R T ?+?=)2(7.021

S 1.010*******.063=????=-

2、74HC161组成的是十进制加计数器,状态表如下:

3、因为振荡器周期为0.1S ,所以计数器循环计数一周所需时间为1秒。

长沙理工大学考试试卷4参考答案

试卷编号 4 拟题教研室(或教师)签名 教研室主任签名

………………………………………………………………………………………………………

课程名称(含档次) 课程代号

专 业 电子信息工程 层次(本、专) 本科 考试方式(开、闭卷) 闭卷 一、填空:(20分)

1、77,115,4D ,00100111。

2、与、或、非。

3、()()A B B C ++,()A B C +。

4、1。

5、1n n Q S RQ +=+,1R S +=(或RS=0)。

6、组合逻辑电路,时序逻辑电路。

7、保持,置“0”,置“1”,翻转(或计数)。

8、6,7。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1 ,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术试卷及答案

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) 门 门 C. 漏极开路门 与非门 3.以下表达式中符合逻辑运算法则的是( D ) ·C =C 2 +1=10 C.0<1 +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) .3 C 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) .2 C 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器( B ) +1 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B ) =A B ,K =B A =A B ,K =B A =B A +,K =A B =B A ,K =A B 12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C )

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术试题及答案05

试卷五 一、填空题(20分) 1.数字信号只有 和 两种取值。 2.十进制123的二进制数是 ;八进制数是 ;十六进制数是 。 3.设同或门的输入信号为A 和B ,输出函数为F 。 若令B=0,则F= 若令B=1,则F= 4.三态门的输出有 、 、 三种状态。 5.设JK 触发器的起始状态Q=1 若令J=1,K=0,则=+1n Q 。 若令J=1,K=1,则=-1n Q 。 6.BCD 七段翻译码器输入的是 位 码,输出有 个。 7.一个N 进制计数器也可以称为 分频器。 8.有一个6位D/A 转换器,设满度输出为6.3V ,输入数字量为110111,则输出模拟电压为 。 9.设ROM 容量为256字×8位,则它应设置地址线 条,输出线 条。 10.用256字×4位RAM ,扩展容量为1024字×8位RAM ,则需要 片。 二 、选择题(20分) 1. 离散的,不连续的信号,称为( ) A 、模拟信号 B 、数字信号 2. 组合逻辑电路通常由( )组合而成。 A 、门电路 B 、触发器 C 、计数器 3. 十六路数据选择器的地址输入(选择控制)端有( )个 A 、16 B 、2 C 、4 D 、8 4. 一位8421BCD 码译码器的数据输入线与译码输出线的组合是( ) A 、4:6 B 、1:10 C 、4:10 D 、2:4 5. 能实现脉冲延时的电路是( ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 6.8线—3线优先编码器的输入为70I I - ,当优先级别最高的7I 有效时,其 输出012Y Y Y 的值是( ) A 、111 B 、010 C 、000 D 、101 7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A 、J=K=0 B 、J=K=1 C 、J=O ,K=1 8.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( ) A 、1011—0110—1100—1000—0000 B 、1011—0101—0010—0001—0000 9.有一位二进制数码需要暂时存放起来,应选用( )

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术试题(含答案)

系:_____________ 专业:_______________ 班级:_________ 准考证号: 姓名:_____________ 期 末 考 试 试 题 (卷) 密 封 线 密 封 线 以 内 不 准 作 任 何 标 记 密 封 线

8、要使JK 触发器处于计数状态,则必须使( ) A.J=K=1 B.J=K=0 C.J=0,K=1 D.J=1,K=0 9、下列触发器中没有计数功能的是( ) A.RS 触发器 B.T 触发器 C.JK 触发器 D.T ˊ触发器 10、组合电路中的冒险,偏“0”冒险Y = ( ) 二、填空题:(请在答题纸答题)(每空2分,共30分) 1、逻辑函数的表示方法有___________、___________、___________、___________、___________五种形式。 2、组合电路中的冒险,偏“1”冒险Y = ___________,偏“0”冒险Y = ___________。 3、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 4、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 5、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 6、计数器按CP 脉冲的输入方式可分为___________和___________。 三、化简题(请在答题纸答题)(每小题5分,共10分) 1、用公式证明等式,()()AB AB A B A B +=++ 2、用卡诺图化简函数为最简单的与或式(画图)。 (0,1,3,4,5,7,)Y m =∑ 四、根据已知条件,画出输出波形(请在答题纸答题)(每题10分,共20分) 1、已知逻辑门与输入波形,作出Y 的波形 Y A

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

相关主题
文本预览
相关文档 最新文档