当前位置:文档之家› Lattice的ISPlever使用教程

Lattice的ISPlever使用教程

Lattice的ISPlever使用教程
Lattice的ISPlever使用教程

Lattice的ISPlever使用教程

ispLEVER使用教程

目录

第一节 ispLEVER 简介

第二节 ispLEVER开发工具的原理图输入

第三节设计的编译与仿真

第四节硬件描述语言和原理图混合输入

第五节 ispLEVER工具中VHDL和Verilog语言的设计方法

第六节 ispVM System-在系统编程的软件平台

第七节约束条件编辑器(Constraint Editor)的使用方法

附录一 ispLEVER System上机实习题

附录二 ispLEVER软件中文件名后缀及其含义

第一节 ispLEVER 简介

ispLEVER 是Lattice 公司最新推出的一套EDA软件。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。编译器是此软件的核心,能进行逻辑优化,将逻辑映射到器件中去,自动完成布局与布线并生成编程所需要的熔丝图文件。软件中的Constraints Editor工具允许经由一个图形用户接口选择I/O设置和引脚分配。软件包含Synolicity公司的“Synplify”综合工具和Lattice 的ispVM器件编程工具。ispLEVER软件提供给开发者一个简单而有力的工具,用于设计所有Lattice可编程逻辑产品。软件支持所有Lattice公司的ispLSI 、MACH、ispGDX、ispGAL、GAL器件。ispLEVER工具套件还支持Lattice新的ispXPGATM和ispXPLDTM产品系列,并集成了Lattice ORCA Foundry设计工具的特点和功能。这使得ispLEVER的用户能够设计新的ispXPGA和ispXPLD产品系列,ORCA FPGA/FPSC系列和所有Lattice的业界领先的CPLD 产品而不必学习新的设计工具。

软件主要特征:

1. 输入方式

* 原理图输入

* ABEL-HDL输入

* VHDL输入

* Verilog-HDL输入

* 原理图和硬件描述语言混合输入

2. 逻辑模拟

* 功能模拟

* 时序模拟

3. 编译器

* 结构综合、映射、自动布局和布线

4. 支持的器件

* 含有支持ispLSI器件的宏库及MACH器件的宏库、TTL库

* 支持所有ispLSI、MACH、ispGDX、ispGAL、GAL、ORCA FPGA/FPSC、ispXPGA和ispXPLD 器件

5. Constraints Editor工具

* I/O参数设置和引脚分配

6. ispVM工具

* 对ISP器件进行编程

软件支持的计算机平台:

PC: Windows 98/NT/2000/XP

第二节 ispLEVER开发工具的原理图输入

I. 启动ispLEVER(按Start=>Programs=>Lattice Semiconductor=>ispLEVER Project Navigator)

II. 创建一个新的设计项目

A. 选择菜单File。

B. 选择New Project...。

C. 在Create New Project对话框的Project Name栏中,键入项目名d:\user\demo.syn。在Project type栏中选择Schematic/ABEL(ispLEVER软件支持Schematic/ABEL、Schematic/VHDL、Schematic/Verilog等的混合设计输入,在此例中,仅有原理图输入,因此可选这三种中的任意一种)。

D. 你可以看到默认的项目名和器件型号: Untitled and ispLSI5256VE-165LF256。

III. 项目命名

A. 用鼠标双击Untitled。

B. 在Title文本框中输入“Demo Project”,并选OK。

IV. 选择器件

A. 双击ispLSI5256VE-165LF256, 你会看到Device Selector对话框(如下图所示)。

B. 在Select Device窗口中选择ispMACH 4000项。

C. 按动器件目录中的滚动条,直到找到并选中器件LC4032V-10T44I。

D. 揿OK按钮,选择这个器件。

E. 在软件弹出的如下图显示的Confirm Change窗口中,按Yes按钮。

F. 因改选器件型号后,先前的约束条件可能对新器件无效,因此在软件接着弹出的如下图显示的ispLEVER Project Navigato窗口中,按Yes按钮,以用来去除原有的约束条件。

V. 在设计中增加源文件

一个设计项目由一个或多个源文件组成。这些源文件可以是原理图文件(*.sch)、ABEL HDL文件(*.abl)、VHDL设计文件(*.vhd)、Verilog HDL设计文件(*.v)、测试向量文件(*.abv) 或者是文字文件(*.doc, *.wri, *.txt)。在以下操作步骤中,你要在设计项目中添加一张空白的原理图纸。

A. 从菜单上选择Source 项。

B. 选择New... 。

C. 在对话框中,选择Schematic(原理图),并按OK。

D. 输入文件名demo.sch。

E. 确认后揿OK。

VI. 原理图输入

你现在应该进入原理图编辑器。在下面的步骤中,你将要在原理图中画上几个元件符号,并用引线将它们相互连接起来。

A. 从菜单栏选择Add, 然后选择Symbol,你会看到如下图所示的对话框:

B. 选择GATES.LIB库,然后选择G_2AND元件符号。

C. 将鼠标移回到原理图纸上,注意此刻AND门粘连在你的光标上,并随之移动。

D. 单击鼠标左键,将符号放置在合适的位置。

E. 再在第一个AND门下面放置另外一个AND 门。

F. 将鼠标移回到元件库的对话框,并选择G_2OR元件。

G. 将OR门放置在两个AND门的右边。

H. 现在选择Add菜单中的Wire项。

I. 单击上面一个AND门的输出引脚,并开始画引线。

J. 随后每次单击鼠标,便可弯折引线 (双击便终止连线)。

K. 将引线连到OR门的一个输入脚。

L. 重复上述步骤,连接下面一个AND门。

VII. 添加更多的元件符号和连线

A. 采用上述步骤,从REGS.LIB库中选一个g_d寄存器,并从IOPADS.LIB库中选择G_OUTPUT 符号。

B. 将它们互相连接,实现如下的原理图:

VIII. 完成你的设计

在这一节,通过为连线命名和标注I/O Markers来完成原理图。

当要为连线加信号名称时,你可以使用ispLEVER的特点,同时完成两件事-----同时添加连线和连线的信号名称。这是一个很有用的特点,可以节省设计时间。I/O Markers是特殊的元件符号,它指明了进入或离开这张原理图的信号名称。注意连线不能被悬空(dangling),它们必需连接到I/O Marker或逻辑符号上。这些标记采用与之相连的连线的名字,与I/O Pad符号不同,将在下面定义属性(Add Attributes)的步骤中详细解释。

A. 为了完成这个设计,选择Add菜单中的Net Name项。

B. 屏幕底下的状态栏将要提示你输入的连线名,输入‘A’ 并按Enter键,连线名会粘连在鼠标的光标上。

C. 将光标移到最上面的与门输入端,并在引线的末连接端(也即输入脚左端的红色方块),按鼠标左键,并向左边拖动鼠标。这可以在放置连线名称的同时,画出一根输入连线。

D. 输入信号名称现在应该是加注到引线的末端。

E. 重复这一步骤,直至加上全部的输入‘B’,’C’,’D’和‘CK’,以及输出‘OUT’。

F. 现在选择Add菜单的I/O Marker项。

G. 将会出现一个对话框,请选择Input。

H. 将鼠标的光标移至输入连线的末端(位于连线和连线名之间),并单击鼠标的左键。这时回出现一个输入I/O Marker,标记里面是连线名。

I. 鼠标移至下一个输入,重复上述步骤,直至所有的输入都有I/OMarker。

J. 现在请在对话框中选择Output,然后单击输出连线端,加上一个输出I/O Marker。

K. 至此原理图就基本完成,它应该如下图所示。

IX. 定义器件的属性(Attributes)

你可以为任何一个元件符号或连线定义属性。在这个例子中,你可以为输出端口符号添加引脚锁定LOCK的属性。请注意,在ispLEVER中,引脚的属性实际上是加到I/O Pad

符号上,而不是加到I/O Marker上。同时也请注意,只有当你需要为一个引脚增加属性时,才需要I/O Pad符号,否则,你只需要一个I/O Marker。

A. 在菜单条上选择Edit =>Attribute =>Symbol Attribute项,这时会出现一个Symbol Attribute Editor 对话框。

B. 单击需要定义属性的输出I/O Pad。

C. 对话框里会出现一系列可供选择的属性。

D. 选择PinNumber属性,并且把文本框中的‘*’替换成‘4’(‘4’为器件的引脚号)。这样,该I/O Pad上的信号就被锁定到器件的第四个引脚上了。

E. 关闭对话框。

F. 请注意,此时数字‘4’出现在I/O Pad符号内。

X. 保存已完成的设计

从菜单条上选择File,并选Save命令。再选Exit命令。

第三节设计的编译与仿真

I. 建立仿真测试向量(Simulation Test Vectors)

module demo;

c,x = .c.,.x.;

CK,A,B,C,D,OUT PIN;

TEST_VECTORS

([CK, A, B, C, D]->[OUT])

[ c , 0 , 0 , 0 , 0 ]->[ x ];

[ c , 0 , 0 , 1 , 0 ]->[ x ];

[ c , 1 , 1 , 0 , 0 ]->[ x ];

[ c , 0 , 1 , 0 , 1 ]->[ x ];

END

A. 在已选择LC4032V-10T44I器件的情况下,选择Source菜单中的New...命令。

B. 在对话框中,选择ABEL Test Vectors并按OK。

C. 输入文件名demo.abv 作为你的测试向量文件名。

D. 按OK。

E. 文本编辑器弹出后,输入下列测试向量文本:

F. 完成后,选择File菜单中的Save命令,以保留你的测试向量文件。

G. 再次选择File,并选Exit命令。

H. 此时你的项目管理器(Project Navigator)应如下图所示。

II. 编译原理图与测试向量

现在你已为你的设计项目建立起所需的源文件,下一步是执行每一个源文件所对应的处理过程。选择不同的源文件,你可以从项目管理器窗口中观察到该源文件所对应的可执行过程。在这一步,请你分别编译原理图和测试向量。

A. 在项目管理器左边的项目源文件(Sources in Project)清单中选择原理图(demo.sch)。

B. 双击原理图编译(Compile Schematic)处理过程。

C. 编译通过后,Compile Schematic过程的左边会出现一个绿色的查对记号,以表明编译成功。编译结果将以逻辑方程的形式表现出来。

D. 然后从源文件清单中选择测试向量源文件(demo.abv)。

E. 双击测试向量编译(Compile Test Vectors)处理过程。

III. 设计的仿真

ispLEVER开发系统不但可以进行功能仿真(Functional Simulation),而且可以进行时序仿真(Timing Simulation)。在仿真过程中还提供了单步运行、断点设置功能。

IV. 一、功能仿真

A. 在ispLEVER Project Navigator的主窗口左侧,选择测试向量源文件(demo.abv),双击右侧的Functional Simulation功能条。将弹出如下图所示的仿真控制窗口(Simulator Control Panel)。

B. 在Simulator Control Panel中,将根据(*.abv)文件中所给出的输入波形进行一步到位的仿真。

在Simulator Control Panel中,按Simulate=>Run,再按Tools => Waveform Viewer菜单,将打开波形观察器Waveform Viewer如下图所示。

C. 波形现在都显示在波形观察器的窗口中,如下图所示:

D. 单步仿真。选Simulator Control Panel窗口中的Simulate=>Step可对您的设计进行单步仿真。ispLEVER中仿真器的默认步长为100ns,您可根据需要在按Simulate=>Settings 菜单所激活的对话框(Setup Simulator)中重新设置您所需要的步长。按Simulator Control Panel窗口中的Simulate=>Reset菜单,可将仿真状态退回至初始状态(0时刻)。随后,每按一次Step,仿真器便仿真一个步长。下图是按了七次Step钮后所显示的波形(所选步长为100ns)。

E. 设置断点(Breakpoint)。在Simulator Control Panel窗口中,按Signal=>Breakpoints 菜单,会显示如下图所示的断点设置控制的Breakpoint窗口。

在该窗口中按New按钮,开始设置一个新的断点。在Available Signals栏中单

击鼠标选择所需的信号,在窗口中间的下拉滚动条中可选择设置断点时该信号的变化要求,例如:->0,指该信号变化到0状态;!=1,指该信号处于非1状态。一个断点可以用多个信号所处的状态来作为定义条件,这些条件在逻辑上是与的关系。最后在Breakpoints窗口中,先选中ADD,再按Arm按钮使所设断点生效。本例中选择信号OUT->?作为断点条件,其意义是指断点条件成立的条件为OUT信号发生任何变化(变为0,1,Z或X状态)。这样仿真过程中在0ns,700ns,1000ns时刻都会遇到断点。

F. 波形编辑(Waveform Edit)。

除了用*.abv文件描述信号的激励波形外,ispLEVER还提供了直观的激励波形的图形输入工具-Waveform Editor。以下是用Waveform Editor编辑激励波形的步骤(仍以设计demo.sch为例):

1. 在Simulator Cotrol Panel窗口中,按Tools=>Waveform Editor菜单,进入波形编辑器窗口(Waveform Editing Tool),如下图所示:

2. 在上述窗口中按Object=>Edit Mode,将弹出如下图所示的波形编辑子窗口:

3. 在Waveform Editing Tool窗口中按Edit=>New Wave菜单,弹出如下窗口:

在该窗口中的Polarity选项中选择Input,然后在窗口下部的空格中输入信号名:A,B,C,D,CK。每输完一个信号名按一次Add钮。

4. 在完成上述步骤3以后,Waveform Editing Tool窗口中有了A,B,C,D,CK的信号名,如下图所示:

单击窗口左侧的信号名A,开始编辑A信号的激励波形。单击0时刻右端且与A信号所处同一水平位置任意一点,波形编辑器子窗口中将显示如下信息:

在States栏中选择Low,在Duration栏中填入200ns并按回车键。这时,在Waveform Editing Tool窗口中会显示A信号在0-200ns区间为0的波形。然后在Waveform Editing Tool窗口中单击200ns右侧区间任一点,可在波形编辑器的子窗口中编辑A信号的下一个变化。重复上述操作过程,编辑所有输入信号A,B,C,D,CK的激励波形,并将它存盘为wave_in.wdl文件。完成后,Waveform Editing Tool窗口如下图所示:

5. 在Waveform Editing Tool菜单中,按File=>Consistency Check菜单,检测激励波形是否存在冲突。在该例中,错误信息窗口会提示No Errors Dected。

6. 至此,激励波形已描述完毕,剩下的工作是调入该激励文件(wave_in.wdl)进行仿真:回到ispLEVER Project Navigator 主窗口,按 Source=>Import菜单,调入激励文件wave_in.wdl。在窗口左侧的源程序区选中Wave_in.wdl文件,双击窗口右侧的Functional Simulation栏进入功能仿真流程,以下的步骤与用*.abv描述激励的仿真过程完全一致,在此不再赘述。

二、时序仿真(Timing Simulation)

时序仿真的操作步骤与功能仿真基本相似,以下简述其操作过程中与功能仿真的不同之处。

仍以设计Demo为例,在ispLEVER Project Navigator主窗口中,在左侧源程序区选中Demo.abv,双击右侧的Timing Simulation栏进入时序仿真流程。由于时序仿真需要与所选器件有关的时间参数,因此双击Timing Simulation栏后,软件会自动对器件进行适配,然后打开与功能仿真时间相同的Simulator Control Panel窗口。

时序仿真与功能仿真操作步骤的不同之处在于仿真的参数设置上。在时序仿真时,打开Simulator Control Panel窗口中的Simulate=>Settings菜单,产生Setup Simulator对话框。在此对话框中可设置延时参数(Simulation Delay)最小延时(Minimun Delay)、典型延时(Typical Delay)、最大延时(Maximun Delay)和0延时(Zero Delay)。最小延时是指器件可能的最小延时时间,0延时指延时时间为0。

在Setup Simulator对话框中,仿真模式(Simulation Mode)可设置为两种形式:惯性延时(Inertial Mode)和传输延时(Transport Mode)。

将仿真参数设置为最大延时和传输延时状态,在Waveform Viewer窗口中显示的仿真结果如下图所示:

由图可见,与功能仿真不同的是:输出信号OUT的变化比时钟CK的上升沿滞后了5ns。

IV. 建立元件符号(Symbol)

ispLEVER工具的一个非常有用的特点是能够迅速地建立起一张原理图的符号。通过这一步骤,你可以建立一个可供反复调用的逻辑宏元件,以便放置在更高一层的原理图纸上。下一节将指导你如何调用。这里仅教你如何建立元件符号。

A. 双击原理图的资源文件demo.sch,把它打开。

B. 在原理图编辑器中,选择File菜单。

C. 从下拉菜单中,选择Matching Symbol命令。

D. 关闭原理图。

E. 至此,这张原理图的宏元件符号已经建立完毕,并且被加到元件表中。你可以在下一节中调用这个元件。

第四节硬件描述语言和原理图混合输入

ispLEVER软件支持ABEL/原理图、VHDL/原理图、Verilog/原理图的混合输入。这一节,我们以ABEL/原理图为例,介绍硬件描述语言和原理图混合输入的方法。

现在,你要建立一个简单的ABEL HDL语言输入的设计,并且将其与上一节中完成的原理图进行合并,以层次结构的方式,画在顶层的原理图上。然后对这个完整的设计进行仿真、编译,最后适配到器件中。

现在我们就开始吧!

I 启动ispLEVER

如果你在上一节的练习后退出了ispLEVER,点击Start => Programs => LatticeSemiconductor => ispLEVER菜单,屏幕上你的项目管理器应该如下图所示。

II 建立顶层的原理图

A. 仍旧选择LC4032V-10T44I器件,从菜单条上选Source。

B. 选择New...

C. 在对话框中选Schematic,并按OK。

D. 在文本框中输入文件名top.sch,并按OK。

E. 现在你就进入了原理图编辑器。

F. 调用上节中创建的元件符号。选择Add菜单中的Symbol项,这时会出现Symbol Libraries 对话框,选择Local的库,你会注意到在下部的文本框中有一个叫demo的元件符号,这就是你在上一节中自行建立的元件符号。

G. 选择demo元件符号,并放到原理图上的合适位置。

II. 建立内含ABEL语言的逻辑元件符号

现在你要为ABEL HDL设计文件建立一个元件符号。只要知道了接口信息,你就可以为下一层的设计模块创建一个元件符号。而实际的ABEL设计文件可以在以后再完成。

A. 在原理图编辑器里,选择ADD菜单里的New Block Symbol...命令。

B. 这时候会出现一个对话框,提示你输入ABEL模块名称及其输入信号名和输出信号名。请按照下图所示输入信息:

C. 当你完成信号名的输入,揿Run按钮,就会产生一个元件符号,并放在本地元件库中。同时元件符号还粘连在光标上,随之移动。

D. 把这个符号放在demo符号的左边。

E. 单击鼠标右键,就会显示Symbol Libraries的对话框。

请注意abeltop符号出现在Local库中。

F. 关闭对话框。你的原理图应该如下图所示:

III. 完成原理图

现在请你添加必需的连线,连线名称,以及I/O标记,来完成顶层原理图,使其看上去如下图所示。如果你需要帮助,请参考第二节中有关添加连线和符号的指导方法。当你画完后,请存盘再退出。

IV. 建立ABEL-HDL源文件

现在你需要建立一个ABEL源文件,并把它链接到顶层原理图对应的符号上。项目管理器使这些步骤简化了:

A. 你当前的管理器应该如下图所示:

B. 请注意abeltop左边的红色“?”图标。这意味着目前这个源文件还是个未知数,因为你还没有建立它。同时也请注意源文件框中的层次结构,abeltop和demo源文件位于top 原理图的下面并且偏右,这说明它们是top原理图的底层源文件。这也是ispLEVER项目管理器另外一个有用的特点。

C. 为了建立所需的源文件,请选择abeltop,然后选择Source菜单中的New...命令。

D. 在New Source对话框中,选择ABEL-HDL Module并按OK。

E. 下一个对话框会问你模块名,文件名,以及模块的标题。为了将源文件与符号相链接,模块名必须与符号名一致,而文件名没有必要与符号名一致。但为了简单,你可以给它们取相同的名字。按下图所示,填写相应的栏目:

F. 按OK。你就进入了Text Editor,而且可以看到ABEL HDL设计文件的框架已经呈现在你的面前。

G. 输入下列的代码。确保你的输入代码位于TITLE语句和

END语句之间。

MODULE abeltop

TITLE 'This is the Top Level ABEL file'

" Inputs

IN1,IN2,IN3 pin;

"Outputs

OUT1,OUT2,OUT3,OUT4 pin;

Equations

OUT1=IN1 & !IN3;

OUT2=IN1 & !IN2;

OUT3=!IN1 & IN2 & IN3;

OUT4=IN2 & IN3;

END

H. 当你完成后,选择File菜单中的Save命令。

I. 退出文本编辑器。

J. 请注意项目管理器中abeltop源文件左边的图标已经改变了。这就意味着你已经有了一个与此源文件相关的ABEL文件,并且已经建立了正确的链接。

V. 编译ABEL HDL

A. 选择abeltop源文件。

B. 在处理过程列表中,双击Compile Logic过程。当处理过程结束后,你的项目管理器应该如上图所示。

VII. 仿真

你现在可以对整个设计进行仿真。为此,你需要一个新的测试矢量文件。在这个例子中你只需要修改当前的测试矢量文件。

A. 双击demo.abv源文件,就会出现文本编辑器。

B. 按照下图修改测试矢量文件:

module demo;

c,x = .c.,.x.;

CLK,TOPIN1,TOPIN2,TOPIN3,TOPOUT PIN;

TEST_VECTORS

([CLK,TOPIN1,TOPIN2,TOPIN3]->[TOPOUT])

[ c , 0 , 0 , 0 ]->[ x ];

[ c , 0 , 0 , 1 ]->[ x ];

[ c , 0 , 1 , 0 ]->[ x ];

[ c , 0 , 1 , 1 ]->[ x ];

[ c , 1 , 0 , 0 ]->[ x ];

[ c , 1 , 0 , 1 ]->[ x ];

[ c , 1 , 1 , 0 ]->[ x ];

[ c , 1 , 1 , 1 ]->[ x ];

END

C. 完成后,存盘退出。

D. 仍旧选择测试矢量源文件,双击Functional Simulation过程,进行功能仿真。

E. 现进入Simulation Control Panel窗口。按 Tools=> Waveform Viewer窗口,打开波形观测器准备查看仿真结果。

F. 为了看波形,你必须在Waveform Viewer窗口中按Edit=>Show菜单,弹出如下Show Waveforms窗口:

G. Show Waveforms窗口中选择CLK, TOPIN1, TOPIN2, TOPIN3和TOPOUT信号,并且按Show 钮。然后按File=>Save菜单。这些信号名都可以在波形观测器中观察到。再按Run钮进行仿真,其结果如下图所示:

H. 在步骤D中,如双击Timing Simulation过程,即可进入时序仿真流程,以下仿真步骤与功能仿真相同。

VIII. 把设计适配到Lattice器件中

现在你已经完成了原理图和ABEL语言的混合设计及其仿真。剩下的步骤只是将你的设计放入器件中。因为你已经在第二节中选择了器件,你可以直接执行下面的步骤:

A. 在源文件窗口中选择LC4032V-10T44I器件作为编译对象,并注意观察对应的处理过程。

B. 双击处理过程Fit Design。这将迫使项目管理器完成对源文件的编译,然后连接所有的源文件,最后进行逻辑分割,布局和布线,将设计适配到所选择的Lattice器件中。

C. 当这些都完成后,你可以双击HTML Fitter Report,查看一下设计报告和有关统计数据。

D. 祝贺!!你现在已经完成了设计例子,并且掌握了ispLEVER的主要功能。

IX. 层次化操作方法

层次化操作是ispLEVER项目管理器的重要功能,它能够简化层次化设计的操作。

a) 在项目管理器的源文件窗口中,选择最顶层原理图“top.sch”.此时在项目管理器右边的操作流程清单中必定有Navigation Hierarchy过

程。

b) 双击Navigation Hierarchy过程,即会弹出最顶层原理图“top.sch”。

c) 选择View菜单中的Push/Pop命令,光标就变成十字形状。

d) 用十字光标单击顶层原理图中的abeltop符号,即可弹出描述abeltop逻辑的文本文件abeltop.abl。此时可以浏览或编辑ABELHDL设计文件。浏览完毕后用File菜单中的Exit 命令退回顶层原理图。

e) 用十字光标单击顶层原理图中的demo符号,即可弹出描述demo逻辑的底层原理图demo.sch。此时可以浏览或编辑底层原理图。

f) 若欲编辑底层原理图,可以利用Edit菜单中的Schematic命令进入原理图编辑器。编译完毕后用File菜单中的Save和Exit命令退出原理图编辑器。

g) 底层原理图浏览完毕后用十字光标单击图中任意空白处即可退回上一层原理图。

h) 若某一设计为多层次化结构,则可在最高层逐层进入其底层,直至最底一层;退出时亦可以从最底层逐层退出,直至最高一层。

i) 层次化操作结束后用File菜单中的Exit命令退回项目管理器。

注意:将Y1端口定义成时钟输入端的方法

ispLSI1016和ispLSI2032两种器件的Y1端是功能复用的。如果不加任何控制,适配软件在编译时将Y1默认为是系统复位端口(RESET)。若欲将Y1端用作时钟输入端,必须通过编译器控制参数来进行定义。

第五节 ispLEVER工具中VHDL语言的设计方法

用户的VHDL设计可以经ispLEVER系统提供的综合器进行编译综合,生成EDIF格式的网表文件,然后可进行逻辑或时序仿真,最后进行适配,生成可下载的JEDEC文件。

VHDL设计输入的操作步骤

在ispLEVER System Project Navigator主窗口中,按File=>New Project菜单建立一个新的工程文件,此时会弹出如下图所示的对话框。请注意:在该对话框中的Project Type 栏中,必须根据设计类型选择相应的工程文件的类型。本例中,选择VHDL类型。

将该工程文件存盘为demo.syn。

在ispLEVER System Project Navigator主窗口中,选择Source=>New菜单。在弹出的New Source对话框中,选择VHDL Module类型。

此时,软件会产生一个如下图所示的New VHDL Source对话框:

在对话框的各栏中,分别填入如上图所示的信息。按OK钮后,进入文本编辑器-Text Editor 编辑VHDL文件。

在Text Editor中输入如下的VHDL设计,并存盘。

此VHDL设计所描述的电路与5.2节所输入的原理图相同,只不过将输出端口OUT 改名为OUTP(因为OUT为VHDL语言保留字)。

此时,在 ispLEVER System Project Navigator主窗口左侧的源程序区中,demo.vhd文件被自动调入。选择器件ispMACH4A5-64/32-10JC,并启动Options=>Select RTL Synthesis 菜单,显示如下对话框:

在该对话框选择Synplify,即采用Synplify工具对VHDL设计进行综合。

此时的ispLEVER System Project Navigator主窗口如下图所示:

双击Processes窗口的Synplify Synthesize VHDL File进行编译、综合。或者选择菜单Tools=> Synplify Synthesis产生如下窗口。选Add调入demo.vhd,然后对demo.vhd文件进行编译、综合。

若整个编译、综合过程无错误,该窗口在综合过程结束时会自动关闭。若在此过程中出错,双击上述Synplify窗口中Source Files栏中的demo.vhd文件进行修改并存盘,然后按RUN 钮重新编译。

在通过VHDL综合过程后,可对设计进行功能和时序仿真。在ispLEVER System Project Navigator主窗口中按Source=>New菜单,产生并编辑如下的测试向量文件demo.abv:

在ispLEVER System Project Navigator主窗口中选中左侧的demo.abv文件,双击右侧的Functional Simulation栏,进行功能仿真。在Waveform Viewer窗口中观测信号A,B,C,CK,D和OUTP,其波形如下图所示:

在ispLEVER System Project Navigator主窗口中选中左侧的demo.abv文件,双击右侧的Timing Simulation栏,进行时序仿真。选择Maximum Delay,在Waveform Viewer窗口中观测信号A,B,C,CK,D和OUTP,其波形如下图所示:

在ispLEVER System Project Navigator主窗口中选中左侧的ispMACH器件,双击右侧的Fit Design栏,进行器件适配。该过程结束后会生成用于下载的JEDEC文件demo.jed。

第六节 ispVM System-在系统编程的软件平台

Lattice器件的在系统编程是借助ispVM System 软件来实现的。ispVM System 软件集成在ispLEVER 软件中,它同时也可以是一个独立的器件编程软件。ispVM SystemTM 是一个综合的将设计下载到器件的软件包。该软件提供一种有效的器件编程方式,即采用由莱迪思半导体公司或其他公司的设计软件所生成的 JEDEC 文件来对 ISP 器件编程。这一完整的器件编程工具允许用户快速简便地通过 ispSTREAMTM 将设计烧写到器件上。它还拥有简化 ispATETM、ispTESTTM 及 ispSVFTM 编程的功能。在此仅介绍最常用的基于PC 机Windows 环境的ispVM System,其使用方法如下:

在启动ispVM System前,先将Lattice下载电缆连接在PC机的并行口和待下载的印刷电路板上,并打开印刷电路板的电源。

在Windows中,按Start=>Programs=>Lattice Semiconductor=>ispVM System菜单启动ispVM System,如下图所示。

在LSC ispVM? System窗口中,按ispTools=>Scan Chain菜单,ispVM System软件会自动检测JTAG下载回路,找到回路中所有的器件型号。在本例中,印刷电路板上的JTAG下载回路中仅有一片M4A5-64/32-10JC器件,因此,Scan Chain后的窗口如下图所示。

为得到可供下载到M4A5-64/32-10JC器件中的JED文件,我们可以将第四节设计实例中的器件型号改选为M4A5-64/32-10JC,重新做编译和适配,得到基于M4A5-64/32-10JC器件的JED文件。

在LSC ispVM? System窗口中,双击New Scan Configuration Setup子窗口中的iM4A5-64/32栏,弹出Device Information对话框。在该对话框中的Data File栏里,选择需要下载的JED文件D:\user\demo.jed;在该对话框中的Operation栏里,选择所需的编程操作,这里选Erase,Program,Verify,对器件进行擦除、编程、校验。完成这些操作后,Device Information对话框如下图所示。按OK钮,关闭该对话框。

在LSC ispVM? System窗口中,按Project=>Download菜单启动下载操作。数秒钟后,下

载完成,这时New Scan Configuration Setup子窗口中的Status栏显示PASS,并有一个绿色的圆点,参见下图。

器件回读与加密

器件回读

运用ispVM System软件,可以将已下载过的、未经加密的器件中的熔丝信息回读出来,并存储为新的JED文件共复制相同设计的器件。其操作方法是:在Device Information对话框中的Operation栏里,选择Read and Save JEDEC操作,同时,在Data File栏里,输入将要存放熔丝信息的文件名(JED文件)。在LSC ispVM? System窗口中,按

Project=>Download菜单启动回读操作。

器件加密

为防止自己的设计被非法回读,设计者可以在下载设计的时候对器件进行加密。其操作方法是:在Device Information对话框中的Operation栏里,选择

Erase,Program,Verify,Secure操作。在LSC ispVM? System窗口中,按Project=>Download 菜单启动加密下载操作。如果对加密后的器件进行回读操作,那么可以看到回存的JED文件中,熔丝信息均为0。

第七节约束条件编辑器(Constraint Editor)的使用方法

ispLEVER软件中的 Constraints Editor是一个功能强大的、集成的设计参数设置工具,其可以设置Pin Attributes,Global Constraints,Resource Reservation等参数。根据用户所选器件型号的不同,可供选择的参数也不尽相同。以下我们仍以第四节中的设计为例,说明其使用方法。

在ispLEVER Project Navigator 的主窗口左侧,选中器件型号栏(LC4032V-10T44I),双击右侧的Constraint Editor功能条,打开Constraint Editor,如下图所示。

点击窗口左侧Input Pins和Output Pins左边的,展现所有的输入信号:CLK,TOPIN1,TOPIN2,TOPIN3以及输出信号TOPOUT。双击这些信号名,在窗口右侧会出现对应于每个信号的参数行,如下图所示。

在参数行中,可以单独设置每个信号的Group Members,GLB,Macrocell,Pin,I/O Types,Slewrate,Fast bypass,Osm bypass,Input registers,Register powerup等参数。在这些参数中,最常用的是用于引脚锁定的参数Pin,其设置方法如下:

双击每个信号参数行的Pin这一格,输入该信号需要锁定的引脚序列号。假定信号CLK,TOPIN1,TOPIN2,TOPIN3和TOPOUT需要锁定的引脚号分别为39,2,3,4,7,分别输入这些

引脚号,结果如下:

设置完成后,按File=>Save菜单存盘保存设置。无论是原理图还是用HDL做的设计,都可以采用这种方法设定器件的引脚。

若需设置Global Constraints,Resource Reservation的参数,可以按窗口右下方的Global Constraints和Resource Reservation菜单。

引脚锁定的另一种方法:

引脚锁定是参数设置中最常用的,以下介绍另一种直观的引脚锁定方法:

在Constraints Editor窗口中,按Device=>Package View菜单,窗口变成如下形式。

在右侧窗口中选中要锁定的信号名,按下鼠标左键,将该信号拖至窗口左边器件引脚图中对应的引脚上,放开左键,该信号就被锁定在对应的引脚上了。用这种方法锁定引脚方便、直观,在复杂设计中尤为如此。

附录一 ispLEVER System上机实习题

实验习题一按照所给电路图设计一个四位二进制加法计数器,并进行功能仿真

操作方法

1 建立一个名为CNT14的新设计项目,并打开原理图编辑器。

2 先按照上机操作教材第四节之3建立名为CBU14的逻辑元件符号。

3 调用逻辑元件CBU14,完成原理图输入,并标注内部节点名称,然后存盘退出。

4 四位二进制加法计数器CBU14的ABEL描述语句为:

MODULE CBU14

CAI,CLK,CD PIN;

CAO PIN ISTYPE 'COM';

Q3..Q0 PIN ISTYPE 'REG';

count = [Q3..Q0];

EQUATIONS

count.CLK = CLK;

count.AR = CD;

count := (count.fb) & !CAI;

count := (count.fb + 1) & CAI;

CAO = Q3.Q & Q2.Q & Q1.Q & Q0.Q & CAI;

END

5 根据以上语句用文本编辑器建立CBU14.ABL文件,并用Source菜单中的Import命令调

入设计环境。

6 用文本编辑器建立测试向量文件CNT14.ABV。

module CNT14;

"pins

CK pin;

QQ0,QQ1,QQ2,QQ3 pin ISTYPE 'REG';

COUT pin ISTYPE 'COM';

test_vectors (CK -> [QQ0,QQ1])

@repeat 35 { .c. -> [.x.,.x.]; }

end

7 调入测试向量文件CNT14.ABV,运行时序仿真的编译过程,通过后显示出波形图。

8 通过Edit菜单中的Show或Hide命令显示出如下图所示的波形:

图中,QBUS是由信号QQ3,QQ2,QQ1,QQ0所组成的总线信号。

附录二 ispLEVER软件中文件名后缀及其含义

SYN 源文件设计项目管理文件

ABL 源文件 ABEL 硬件描述语言源文件

ABV 源文件测试向量描述文件

SCH 源文件电路原理图文件

VHD 源文件 VHDL 硬件描述语言源文件

V 源文件 Verilog 硬件描述语言源文件

PPN 源文件引脚锁定描述文件 ( 用电路图锁定引脚时为中间文件)

PAR 源文件适配器控制参数文件

SYM 中间文件电路符合文件

EQ0 中间文件逻辑描述文件 ( 由 ABL 编译所得)

EQ1 中间文件简化逻辑文件 ( 由 EQ0 化简所得)

EQ2 中间文件带层次连接关系的逻辑描述文件

EQ3 中间文件经优化的逻辑描述文件

EQ4 中间文件经反复优化的逻辑描述文件

TMV 中间文件经编译的测试向量文件

TT2 中间文件逻辑网表输出文件,适配器输入文件

FXP 中间文件逻辑布局结果文件

LST 中间文件 ABEL 源文件的列表文件

LOG 中间文件运行流程记录文件

SIM 中间文件仿真用网表文件

JHD 中间文件层次化关系连接表文件

JED 结果文件熔丝图文件 ( JEDEC 文件)

REP 结果文件 GAL 器件设计编译报告文件

RPT 结果文件 IspLSI 器件设计编译报告文件

XRF 结果文件信号和节点简缩名称文件

ERR 结果文件错误报告文件

MFR 结果文件频率分析报告文件

TSU 结果文件寄存器建立和保持时间报告文件TPD 结果文件 TPD 路径延时时间报告文件

TCO 结果文件 TCO 路径延时时间报告文件

快速入门指南

快速入门指南 Sybase 软件资产管理 (SySAM) 2

文档 ID:DC01050-01-0200-01 最后修订日期:2009 年 3 月 版权所有 ? 2009 Sybase, Inc. 保留所有权利。 除非在新版本或技术声明中另有说明,本出版物适用于 Sybase 软件及任何后续版本。本文档中的信息如有更改,恕不另行通知。此处说明的软件按许可协议提供,其使用和复制必须符合该协议的条款。 要订购附加文档,美国和加拿大的客户请拨打客户服务部门电话 (800) 685-8225 或发传真至 (617) 229-9845。 持有美国许可协议的其它国家/地区的客户可通过上述传真号码与客户服务部门联系。所有其他国际客户请与 Sybase 子公司或当地分销商联系。升级内容只在软件的定期发布日期提供。未经 Sybase, Inc. 事先书面许可,不得以任何形式或任何手段(电子的、机械的、手工的、光学的或其它手段)复制、传播或翻译本手册的任何部分。 Sybase 商标可在位于 https://www.doczj.com/doc/cb14020357.html,/detail?id=1011207 上的“Sybase 商标页”进行查看。Sybase 和列出的标记均是 Sybase, Inc. 的商标。 ?表示已在美国注册。 Java 和基于 Java 的所有标记都是 Sun Microsystems, Inc. 在美国和其它国家/地区的商标或注册商标。 Unicode 和 Unicode 徽标是 Unicode, Inc. 的注册商标。 本书中提到的所有其它公司和产品名均可能是与之相关的相应公司的商标。 美国政府使用、复制或公开本软件受 DFARS 52.227-7013 中的附属条款 (c)(1)(ii)(针对美国国防部)和 FAR 52.227-19(a)-(d)(针对美国非军事机构)条款的限制。 Sybase, Inc., One Sybase Drive, Dublin, CA 94568.

入门培训sap操作手册.doc

入门培训SAP操作手册 之IMG设置 一、Basis基本操作 SA02 Academic title (cent. addr. admin.) 学院标题(中心地址管理) SA03 Title (central address admin.) 标题(中央地址管理.) SM04 发前用户列表 SM50 当前进程 SM02 Send System Message SM21 系统日志查看 SP02查看输出控制 SCC4 集团维护 SCCL 集团复制 AL08 显示当前活动用户 SE16 查看表的内容(TSTC表中包含所有T-Code信息记录) SE93 了解系统中可用的事务信息 ST04数据库概要 RZ10 SAP系统参数维护 在基本参数中可更改GUI登入的默认Client 1.在第一次使用此功能时,需装载服务参数文件 2.在基本维护中的更新(服务器)、入队列(服务器) 事件(服务器)参数值设为seaman001_C11_00 服务器_数据库_00 3.更改Client的值,例如设为300 4.点击复制 5.点击保存 6.退出SAP,重启SAP的服务。 一.用户的建立及相关权限的分配 T-Code SU01 创建用户(spool 为LOCL) T-Code PFCG 创建角色 T-Code SPAD 设备维护 主机假脱访问方式选“F:计算机前台打印” 设备类型选:“CNSAPWIN: MS Windows driver via SAPLPD”二.公司组织结构 Client 300 Company Code : 1978 描述: Sap Training

基本财务设置: 1.定义公司代码 路径:IMG->企业结构->定义->财务会计->定义,复制,删除,检查公司代码->编辑公司代码数据 T-Code: Ox02进入公司代码视图,为新公司增加公司代码 2.定义公司 Spro->企业结构->定义->财务会计->定义公司(2006) 3.给公司分配公司代码 IMG->企业结构->分配->财务会计->给公司分配公司代码 4.定义信贷控制范围 Spro->企业结构->定义->财务会计->定义信贷控制范围(0007) 5.定义业务范围(可不设置) Spro->企业结构->定义->财务会计->定义业务范围(0007) 6.将信贷控制范围分配给公司代码 Spro->企业结构->分配->财务会计->给信贷控制范围分配公司代码 7.定义功能范围 Spro->企业结构->定义->财务会计->定义功能范围(不需增加,系统已有0100---生产;0300――销售和分销等) 科目结构表 总账科目,应收科目,应付科目 IMG->财务会计->总账会计->主记录->准备->编辑科目表清单(不增加,使用系统 的CACN) ->给科目表分配公司代码(将CACN 分配给公司代码1978) ->定义科目组(不修改) ->定义留存收益科目(不修改) ->总账科目创建和处理-> 编辑总账科目(单一处理) ->编辑科目表数据(不修改) ->编辑公司代码数据(不修改) 会计年度 维护 Spro->财务会计->财务会计全局设置->会计年度->维护会计年度变式(不修改,使 用K4) 将会计年度分配给公司 IMG->财务会计->财务会计全局设置->会计年度->向一个会计年度变式分配给公 司(将K4分配给公司代码1978) 凭证录入屏幕显示 凭证 IMG->财务会计->财务会计全局设置->凭证 定义记账(凭证)变式

MHDD使用方法以及图文教程

MHDD使用方法以及图文教程(附带MHDD4.6光盘版和DOS版下载) MHDD软件简介 MHDD 是一款由俄罗斯人所开发的免费硬盘实体扫描维护程序,比起一般的硬盘表层扫描,MHDD 有相当令人激赏的扫描速度,让使用者不再需要花费数个小时来除错,只需几十分钟,一颗 80G 大小的硬盘就可以扫瞄完成,且 MHDD 还能够帮使用者修复坏轨,让使用者能够继续延续该硬盘的生命余光。此外, MHDD 还能够对硬盘进行低阶清除的动作,让想要卖掉硬盘的你不必担心硬盘中的数据被接手的买家回复盗用的困扰喔!官方所提供的 MHDD 可分为磁盘版与光盘版两种,如果使用者需要光盘版的话,要用刻录机烧录成光盘后使用。 简洁教程:(MHDD详细图文教程地址 https://www.doczj.com/doc/cb14020357.html,/thread-1161-1-1.html) 1、进入MHDD后,按shift+F3扫描端口上的硬盘,制作的这个光盘版启动后自动扫描硬盘; 2、选择要检测硬盘的对应序号并回车; 3、按一下F4进入扫描设置,再按一下F4开始扫描。默认的只是扫描不修复. 4、扫描完毕之后,在/MHDD/LOG下有个MHDD.LOG,是扫描生成的日志文件。在光盘里,已经集成https://www.doczj.com/doc/cb14020357.html,在/MHDD目录里面,可以输入EDIT打开文本编辑器,然后定位到/MHDD/LOG/MHDD.LOG,查看扫描结果,并采取下一步措施; 5、如果在按下F4没有反应的时候,可以从新光盘启动,运行一次/MHDD目录下的FBDISK,按ESC退出返回到DOS再输入MHDD,启动MHDD 4.6,这时候MHDD就能正常扫描了,这应该是软件的一个BUG; 6、在MHDD里输入man en all可以查看详细的帮助,输入help只是简短的帮助。《MHDD》工具使用详解与命令解释 1、MHDD是俄罗斯Maysoft公司出品的专业硬盘工具软件,具有很多其他硬盘工具软件所无法比拟的强大功能。 2、MHDD无论以CHS还是以LBA模式,都可以访问到128G的超大容量硬盘(可访问的扇区范围从512到137438953472),即使你用的是286电脑,无需BIOS支持,也无需任何中断支持; 3、MHDD最好在纯DOS环境下运行;中国硬盘基地网 https://www.doczj.com/doc/cb14020357.html, 4、MHDD可以不依赖于主板BIOS直接访问IDE口,但要注意不要使用原装Intel品牌主板; 5、不要在要检测的硬盘中运行MHDD;中国硬盘基地网 https://www.doczj.com/doc/cb14020357.html, 6、MDD在运行时需要记录数据,因此不能在被写保护了的存储设备中运行(比如写保护的软盘、光盘等); MHDD命令详解 EXIT(热键Alt+X):退出到DOS。 ID:硬盘检测,包括硬盘容量、磁头数、扇区数、SN序列号、Firmware固件版本号、LBA 数值、支持的DMA级别、是否支持HPA、是否支持AAM、SMART开关状态、安全模式级别及

PS快速入门手册

一. 光和色的关系 1. PS是图像合成软件,是对已有的素材的再创造。画图和创作不是PS的本职工作。(阿随补充:当然了,PS也是可以从无到有的进行创作的,发展到现在来说,画图和创作两方面,PS也是可以完成很棒的作品了。) 2. 开PS软件之前,要准确理解颜色、分辨率、图层三个问题。 3. 红绿蓝是光的三原色;红黄蓝是颜色色料的三原色(印刷领域则细化成青品红(黑))。形式美感和易识别是设计第一位的,套意义、代表一个寓意的东西是其次的。 4. 色彩模式共有四种,每一种都对应一种媒介,分别为: ●lab模式(理论上推算出来的对应大自然的色彩模式) ●hsb模式(基于人眼识别的体系) ●RGB模式(对应的媒介是光色,发光物体的颜色识别系统。) ●CMYK模式(对应的是印刷工艺)。 5. 加色模式:色相的色值相加最后得到白色;减色模式:色相的最大值相加得到黑色。

6. lab色彩模式,一个亮度通道和两个颜色通道,是理论上推测出来的一个颜 色模式。理论上对应的媒介是大自然。 7. hsb色彩模式,颜色三属性: ●色相(色彩名称、色彩相貌,即赤橙黄绿青蓝紫等,英文缩写为h,它的单 位是度,色相环来表示) ●饱和度(色彩纯度,英文缩写s,按百分比计量,跟白有关) ●明度(英文缩写b,按百分比计量,明度跟黑有关)。 注意:黑色和白色是没有色相的,不具备颜色形象。 8. RGB色彩模式,每一个颜色有256个级别,共包含16 777 216种颜色。因 为本模式最大值rgb(255,255,255)得到的是白色,即rgb三个色值到了白色,所以称之为加色模式;当rgb(0,0,0)则为黑色。 三个rgb的色值相等的时候,是没有色相的,是个灰值,越靠近数量越低,是 深灰;越靠近数量越高,是浅灰。 9. CMYK色彩模式,色的三原色,也叫印刷的三原色(即油墨的三原色)青品(又称品红色、洋红色)黄。按油墨的浓淡成分来区分色的级别,0-100%,英文缩写CMY。白色值:cmy(0,0,0);黑色值(100,100,100),色相最大值 得到黑色,所以称之为减色模式。因为技术的原因,100值得三色配比得到的 黑色效果很不好,所以单独生产了一种黑色油墨,所以印刷的色彩模式是cmyk (k即是黑色)。 10. CMYK与RGB的关系:光的三原色RGB,两两运用加色模式(绿+蓝=青,

SAPPS操作手册

目录 一、项目创建 (2) 1.1 系统内项目分类及编码规则 (2) 1.2 项目的创建 (2) 2.1 项目预算的设置 (9) 三、项目状态管理 (13) 3.1 项目状态概述 (13) 3.2 项目状态标识 (15) 四、项目文档维护 (22) 4.1 文档挂接 (22) 4.2 文档查看 (28) 4.3 文档删除 (30) 五、项目服务采购的提报及维护 (34) 5.1 项目服务采购申请创建及修改 (34) 六、项目进度管理 (38) 6.1 项目进度网络维护 (38) 6.2 项目进度确认 (43) 七、项目信息查询 (47) 7.1 项目架构查询 (47) 7.2 项目定义查询 (48) 7.3 项目WBS查询 (48) 7.4 项目预算、成本查询 (49) 八、附录:名词解释 (52)

一、项目创建 1.1 系统内项目分类及编码规则 1.1.1项目分类 1.2 项目的创建 目前XXX主要有以下几种类型的项目:评审类(客户)项目、工程类(客户)项目、科研类(客户)项目、技术服务类(客户)项目、其他客户项目、科技项目、信息项目、管理咨询项目、教育培训项目、股权投资项目。所有项目均可以通过“手工新增”和“EXCEL模板导入”两种方式进行创建。“手工新增”主要用于单个项目的创建,“EXCEL模板导入”主要用于项目批量创建。 1.2.1手工新增创建项目 (1)在sap首界面事物代码栏输入事物代码ZPS44003,点击或者回车,进入项目创建界面: (2)在项目创建界面,选择“手工新增”创建项目(系统默认为手工创建):

(3)填入项目信息(标识的框为创建项目必填信息),点击执行生成项目编码和和创建项目架构:

OnXDC软件快速入门手册

OnXDC软件快速入门手册X0116011 版本:1.0 编制:________________ 校对:________________ 审核:________________ 批准:________________ 上海新华控制技术(集团)有限公司 2010年9月

OnXDC软件快速入门手册X0116011 版本:1.0 上海新华控制技术(集团)有限公司 2010年9月

目录 第一章、从新建工程开始 (3) 1.1新建工程 (3) 1.2激活工程 (3) 第二章、全局点目录组态 (4) 2.1运行系统配置 (4) 2.2点目录编辑 (4) 第三章、站点IP设置 (4) 第四章、运行XDCNET (5) 第五章、XCU组态 (6) 5.1用户登录 (6) 5.2进入XCU组态 (6) 5.3进行离线组态 (6) 5.4在线组态修改(通过虚拟XCU) (8) 第六章、图形组态 (11) 6.1进入图形组态界面 (11) 6.2手操器示例 (11) 6.3图形组态过程 (11) 6.4保存文件 (17) 6.5弹出手操器 (18) 6.6添加趋势图 (19) 6.7添加报警区 (20) 6.8保存总控图 (21) 第七章、图形显示 (21)

第一章、从新建工程开始 1.1新建工程 XDC800软件系统安装后会在操作系统的【开始】—>【程序】菜单中创建OnXDC 快捷方式,点击其中的【SysConfig】快捷方式运行系统配置软件,然后点击工具栏上的【工程管理器】按钮,打开工程管理器,点击工具栏上的【新建工程】按钮,弹出新建工程对话框,首先选择工程的存放路径,然后输入工程名称,如“XX电厂”,点击【确定】按钮,系统会在该工程路径下新建四个文件夹,分别是Gra、Res、Report、HisData,其中分别存放图形文件、图形资源文件、报表文件、历史数据文件。 1.2激活工程 在【工程管理器】的工程列表中找到刚刚创建的工程,选中后点击工具栏上的【激活工程】按钮,即可将该工程设为当前活动工程。

GHOST使用教程(图解

GHOST使用教程(图解)人人都可“拥有”4GHz的CPU **** 本内容跟帖回复才可浏览***** 河北的刘宗元朋友打电话告诉董师傅,他在查看朋友电脑的系统属性时,发现系统属性里显示这台电脑采用的是Intel的4GHz的P4 CPU(图1)。他很是好奇,要知道去年因4GHz P4 CPU难产,Intel的首席执行官贝瑞特曾当众下跪祈求原谅。 董师傅自然也不相信Intel真的出了4GHz的P4 CPU,不过对这个显示结果还是非常感兴趣,经过一番摸索,发现只要略施小计,我们每一个人都可以“拥有”4GHz的P4 CPU。你也想有这样一颗“心”?别着急,且听师傅慢慢道来。 都是sysdm.cpl文件“惹的祸” 知道了问题的关键,下面要做的就是修改信息了。 首先将C:WindowsSystem32文件夹下的sysdm.cpl文件复制一份出来,然后用资源编辑工具EXESCOPE打开复制出的sysdm.cpl文件,展开“资源→对话框→101”分支。在右侧一共有9个“Link Window”。除了第4、5个外,把另外七个的“可见”属性去掉(即去掉右侧“可见”前的钩),目的是在检测系统属性时只显示第4、5个的内容。 选中第4个“Link window”,在“标题”栏输入文字“Intel(R) Pentium4(R)处理器”;在第5个“Link window”的“标题”栏中输入“4 GHz,2048 MB 的内存”等信息(连内存信息也一并改了。数字可随意输入,但不可过长,否则显示效果较别扭);再将第4个“Link window”的“Y”坐标值改为“149”,将第5个的调整为“170”,以占据原来第1、2个“Link Window”的位置。 修改好后保存该文件,接下来只要用该文件替换原始文件即可。不过,在替换过程中,董师傅又遇到了一个新问题: 文件保护功能会“作祟” 董师傅使用的是Windows XP+SP2系统,要把修改后的sysdm.cpl文件复制到C:WindowsSystem32中替换原文件有些麻烦——SP2强大的文件保护功能会自动还原原始文件。 师傅我并不想禁用文件保护功能,所以借助文件替换工具Replacer解决了这个问题。 将下载回来的文件解压到任一文件夹,双击“replace.cmd”出现命令提示符窗口,将 C:WindowsSystem32sysdm.cpl文件拖到其中,回车;再将修改过的sysdm.cpl文件拖入其中并回车,输入“Y”后按回车,这样就能替换掉系统文件了(在弹出的Windows文件保护时请点“取消”)。 至此,董师傅所想要的4GHz的P4 CPU终于“出现”!心动了吧?那就赶快动手吧。 以上软件下面有得下载 资源编辑工具EXESCOPE 文件替换工具Replacer 一、什么是Ghost? Ghost(幽灵)软件是美国赛门铁克公司推出的一款出色的硬盘备份还原工具,可以实现FAT16、FAT32、NTFS、OS2等多种硬盘分区格式的分区及硬盘的备份还原。俗称克隆软件。 1、特点:既然称之为克隆软件,说明其Ghost的备份还原是以硬盘的扇区为单位进行的,也就是说可以将一个硬盘上的物理信息完整复制,而不仅仅是数据的简单复制;克隆人只能克隆躯体,但这个Ghost却能克隆系统中所有的东东,包

Paramics快速入门手册

Paramics快速入门手册 本手册旨在提高广大用户的基础应用能力,为广大用户入门提供参考,手册涵盖了软件的安装与运行、仿真路网状态的查看、数据报告的查看和三维仿真方面的基础操作等内容。 用户可以以本手册作为学习Paramics软件的辅助手册,结合软件其他的技术操作手册(软件自带的manual)进行Paramics软件的基础学习。 用户在使用本手册的过程中如有疑问,请跟我们技术支持部门联系,发邮件至Paramics-China@https://www.doczj.com/doc/cb14020357.html,, 或登陆我们的网站https://www.doczj.com/doc/cb14020357.html,,九州联宇将给您提供完善的技术支持服务。

第一章 安装、运行软件 (3) 1.1安装软件 (3) 1.2运行软件 (3) 第二章 使用Paramics软件 (4) 2.1、二维模式下 (4) 2.2、三维模式下 (4) 2.3、观察点控制 (4) 2.4、地图窗口 (6) 2.5、仿真控制操作 (6) 第三章 仿真分析 (7) 3.1、OD显示 (7) 3.2、热点显示 (8) 3.3、车辆动态信息显示 (9) 3.4、车辆追踪 (11) 3.5、公共交通信息显示 (12) 第四章数据报告 (13) 第五章演示 (14) 5.1、设置图层 (14) 5.2、图层叠加 (14) 5.3、PMX模型 (15) 5.4、环境影响因素 (16) 5.5、飞越播放 (17) 第六章制作仿真视频 (18) 结语 (19)

第一章 安装、运行软件 1.1安装软件 用户在安装Paramics V6安装之前,必须确认安装了.NET Framework 3.0以上的版本。确认安装之后按照以下步骤操作: 1、插入安装光盘,以下两部分是必不可少的,点击Paramics V6 setup,运行软件 2、按照屏幕出现的安装指南进行操作 3、安装结束后要重启计算机 1.2运行软件 用户在启动Paramics之前,确保USB软件狗的红灯闪亮 用户可以通过一下操作打开Paramics路网 点击开始菜单,打开Paramics建模器(Modeller); 在软件中点击File ――Open,打开存放路网文件的文件夹; 选中Demo1,点击OK即可载入演示网络。

sniffer使用及图解教程

sniffer使用及图解 注:sniffer使用及图解sniffer pro 汉化注册版下载 黑白影院高清免费在线电影聚集网无聚集无生活,聚集网络经典资源下载 sniffer软件的安装还是比较简单的,我们只需要按照常规安装方法进行即可。需要说明的是: 在选择sniffer pro的安装目录时,默认是安装在c:\program files\nai\snifferNT目录中,我们可以通过旁边的Browse按钮修改路径,不过为了更好的使用还是建议各位用默认路径进行安装。 在注册用户时,随便输入注册信息即可,不过EMAIL一定要符合规范,需要带“@”。(如图1) 图1 点击放大 注册诸多数据后我们就来到设置网络连接状况了,一般对于企业用户只要不是通过“代理服务器”上网的都可以选择第一项——direct connection to the internet。(如图2) 图2 接下来才是真正的复制sniffer pro必需文件到本地硬盘,完成所有操作后出现setup complete提示,我们点finish按钮完成安装工作。 由于我们在使用sniffer pro时需要将网卡的监听模式切换为混杂,所以不重新启动计算机是无法实现切换功能的,因此在安装的最后,软件会提示重新启动计算机,我们按照提示操作即可。(如图3) 重新启动计算机后我们可以通过sniffer pro来监测网络中的数据包。我们通过“开始->所有程序->sniffer pro->sniffer”来启动该程序。 第一步:默认情况下sniffer pro会自动选择你的网卡进行监听,不过如果不能自动选择或者本地计算机有多个网卡的话,就需要我们手工指定网卡了。方法是通过软件的file菜单下的select settings来完成。 第二步:在settings窗口中我们选择准备监听的那块网卡,记得要把右下角的“LOG ON”前打上对勾才能生效,最后点“确定”按钮即可。(如图4) 图4 第三步:选择完毕后我们就进入了网卡监听模式,这种模式下将监视本机网卡流量和错误数据包的情况。首先我们能看到的是三个类似汽车仪表的图象,从左到右依次为“Utiliz ation%网络使用率”,“Packets/s 数据包传输率”,“Error/s错误数据情况”。其中红色区域是警戒区域,如果发现有指针到了红色区域我们就该引起一定的重视了,说明网络线路不好或者网络使用压力负荷太大。一般我们浏览网页的情况和我图11中显示的类似,使用率不高,传输情况也是9到30个数据包每秒,错误数基本没有。(如图5) 图5

A系统快速入门指导手册

九洲港协同办公自动化系统 用 户 使 用 手 册 集团电脑部 本公司办公自动化系统(以下简称OA系统)内容包括协同办公、文件传递、知识文档管理、

公共信息平台、个人日程计划等,主要实现本部网络办公,无纸化办公,加强信息共享和交流,规范管理流程,提高内部的办公效率。OA系统的目标就是要建立一套完整的工作监控管理机制,最终解决部门自身与部门之间协同工作的效率问题,从而系统地推进管理工作朝着制度化、准化和规范化的方向发展。 一、第一次登录到系统,我该做什么? 1、安装office控件 2、最重要的事就是“修改密码”!初始密码一般为“123456”(确切的请咨询系统管理员),修改后这个界面就属于您自己的私人办公桌面了! 点击辅助安 装程序 安装 office 控件

密码修改在这儿! 一定要记住你的 新密码! 3、设置A6单点登陆信息 点击配置系 统 点击设置参 数 勾选A6 办公系 统

输入A6用户和 密码后确定 二、如何开始协同工作? “协同工作”是系统中最核心的功能,这个功能会用了,日常办公80%的工作都可以用它来完成。那我们现在就开始“发个协同”吧! 1、发起协同 第一步新建事项 第五步发送 第二步定标题

第三步定流程 式 第四步写正文 方法:自定义流程图例:

第一步新建流程 式 第三步确认选中第二步选人员 在自定义流程时,人员下方我 们看到如下两个个词,是什么 意思呢? 第四步确认完成 、 提示(并发、串发的概念) 并发:采用并发发送的协同或文电,接收者可以同时收到 串发:采用串发发送的协同或文电,接收者将按照流程的顺序接收 下面我们以图表的方式来说明两者的概念: 并发的流程图为:

(完整版)电脑简单使用说明书初学电脑实用教程

认知电脑 电脑的主要设备包括: 显示器 显示器开关,用来打开显示器,通常显示器打开状态下为开关指示灯(位于显示器开关旁边或显示器后方)亮着,显示器关闭状态开关指示灯则为熄灭。 电 脑 显示器 音箱 键盘 鼠标 主机 输出设备 输入设备 显示器开关

主机开关 主机重启开关 电脑主机如上图示主要有2个开关按钮,主机开关(通常为个头较大位于上方的开关按钮)用于作为电脑主机的开关,主机重启按钮(通常为个头较小位于较下方的开关按钮)用于作为电脑出现死机故障无法正常关机或重启的开关按钮,通常也叫短路开关。 键盘 键盘,电脑的重要输入设备之一,用于信息和操作录入的重要输入设备。

鼠标也作为电脑的重要输入设备,如上图所示,通常的鼠标主要有左键,滚动滑轮键, 右键这三个功能键组成。左右键的操作方式主要有:单击,双击,按住不放拖动鼠标等操作。 左键单击的作用:选中、连接、按钮的按入(像我们通常按电视遥控器按钮一样,打开了按钮显示的对应功能)。 左键双击的作用:打开windows 桌面的功能图标对应的功能。 注:通常2次敲击左键的间隔要尽可能小点,要快,否则电脑只认为你是做了2 次左键单击事件(只是对图标进行了2次选中操作),而不认为你是做1次左键双击事件,就不能达到你想要的打开这个功能的操作。如果出现上述的点击不够快的情况,只需重复回一次正确的双击操作就可以打开对应你所点击的图标功能。 右键单击的作用:打开你所点击的地方的高级菜单(高级功能菜单中有对你所点击的地方的大部分功能操作选项,通常有打开、改名即重命名、复制、删除、属性设置等功能)。右键单击弹出高级菜单后,将光标移进高级功能菜单里面,可以看见光标所在的菜单选项背景色改变为蓝色,这时你只要左键单击一下就可以进入这项功能。 注:如果失误右键点击弹出了高级菜单,只需将光标移到空白的地方(没文字,没图标,没按钮的地方)左键单击一次就可以退出并关闭高级菜单。 右键双击的作用:通常不使用右键双击,所以在不做详细介绍。 滚动滑轮的作用:通常文档或网页显示器不能一屏显示完,所以通常有部分在下方,这时我们想看下面的内容,就要将下面的内容拖上来看,这时就要使用滚动滑轮了。 滚轮向下滑动:页面向上拖动可以看到下面的内容。 滚轮向上滑动:页面向下拖动可以看到上面的内容。 左键 右键 滚动滑轮

SAP使用技巧及基本操作培训完整操作手册

S A P使用技巧及基本操作培训完整操作手册 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

目录 致力为兄弟们提供SAP各系统各版本安装包免装虚拟机和专业培训辅导 系统涵盖:SAP R3/ECC6 SR2/SR3/EHP4/EHP5, BO/BW/BI/CRM/SRM/SCM/APO/SLM 模块涵盖:MM SD PP FI CO ABAP BASIS QM WM SRM SCM CRM BI BW BO PS HR PM PLM http http

SAP最近行情非常好,我们陆续在下个月有3个项目要开展,顾问缺口有20多个,一般兄弟从我这里拿了SAP系统和视频项目资料学了2个月后,基本我们都很容易把他们卖到我们项目里。以前一个兄弟,我把给客户安装的SAP IDES给他学,他说有点后悔,为什么这么晚才遇到我,他说他做了7年的用友ERP,发展都到瓶顶了,一直找不到新的发展空间和平台.....~~他装好我给的SAP后,开始摸索学习,学了6个月后,我给他安排了一个职位,辅导他面试,他很容易就谋到了SAP FICO顾问的职位,薪资比做了7年UFSOFT还要高1倍多...所以我在这里开始向大家推荐,目的也就是为了帮助大家进入这个行业.....为那些想寻找更大发展空间的兄弟们提供新的机遇的筹码。 包括: 1,SAP各个版本的安装或虚拟机,任选符合您的电脑配置的系统版本 2,SAP各模块专业PA视频,任选您自己主功的模块 3,送联想,某家电,某化工,某食品等多个项目文档 4,送SAP各模块综合培训资料,视频。各模块电子书(影印的或电子版本的) 5,长期在线辅导你学习SAP各模块,并提供远程协助,永久 6,推荐就业 我自己是做SAP外部顾问的,做过联想,XX家电,XX相机,XX化工,XX食品等。致力为SAP兄弟们提供最全面的服务,要自学或晋升SAP各模块的兄弟们,可以好好发挥你们的自学天赋,巩固学习SAP各模块了。学习过程中,可以加入我的Q群学习讨论,

GHOST使用教程(图解)

GHOST使用教程(图解) 收集者:小路发布于:https://www.doczj.com/doc/cb14020357.html, 发布时间:2007- 5-6 12:50:48 发布人:小路 减小字体增大字体 一、什么是Ghost? Ghost(幽灵)软件是美国赛门铁克公司推出的一款出色的硬盘备份还原工具,可以实现FAT16、FAT32、NTFS、O S2等多种硬盘分区格式的分区及硬盘的备份还原。俗称克隆软件。 1、特点:既然称之为克隆软件,说明其Ghost的备份还原是以硬盘的扇区为单位进行的,也就是说可以将一个硬盘上的物理信息完整复制,而不仅仅是数据的简单复制;克隆人只能克隆躯体,但这个Ghost却能克隆系统中所有的东东,包括声音动画图像,连磁盘碎片都可以帮你复制,比克隆人还厉害哟:)。Ghost支持将分区或硬盘直接备份到一个扩展名为.gho的文件里(赛门铁克把这种文件称为镜像文件),也支持直接备份到另一个分区或硬盘里。 2、运行ghost:至今为止,ghost只支持Dos的运行环境,这不能说不是一种遗憾:(。我们通常把ghost文件复制到启动软盘(U盘)里,也可将其刻录进启动光盘,用启动盘进入Dos环境后,在提示符下输入ghost,回车即可

运行ghost,首先出现的是关于界面,如图 按任意键进入ghost操作界面,出现ghost菜单,主菜单共有4项,从下至上分别为Quit(退出)、Options(选项)、Peer to Peer(点对对,主要用于网络中)、Loca l(本地)。一般情况下我们只用到Local菜单项,其下有三个子项:Disk(硬盘备份与还原)、Partition(磁盘分区备份与还原)、Check(硬盘检测),前两项功能是我们用得最多的,下面的操作讲解就是围绕这两项展开的。 3、由于Ghost在备份还原是按扇区来进行复制,所以在操作时一定要小心,不要把目标盘(分区)弄错了,要不

软件快速入门手册

可读写一体机快速入门手册 读卡设备在安装好后需要经过卡片发行授权,读卡机密码及权限设置操作流程才能够正常使用。一张卡如果在一个读卡器上顺利使用,卡片和读卡器需要满足以下条件: 1.卡片的加密密码与读卡器的密码一致; 2.卡片的权限必须在读卡器权限许可的范围内; 3.卡片必须在有效期以内; 4.卡片内码不在黑名单之列; 一、连接发卡器 首先,将发卡器连接到电脑的USB接口,为了保证通信性能,厂家建议连接至计算机机箱后的USB接口,如图1所示。 图1 图2 电脑会提示发现新硬件,如图2所示. 图3 图4 按照图3选择从列表或指定位置安装,按照图示指定驱动位置,驱动默认在安装光盘的CP210X文件夹下。 点击下一步,如图5,单击完成后再次弹出找到新硬件,选择否,暂时不,找到驱动位置安装驱动,成功后,可以在

图5 图6 设备管理器中看到CP2102 USB to UART Bridge Controller (COM5),表示发卡器的通信端口为COM5,如图7。 图7 图8 图9 接下来我们打开管理软件,双击图8所示图标,出现图9所示对话框,输入密码。默认密码是888888,点击确定,出现图10界面。 图10 第一次使用,先配置通信端口。点击菜单栏“系统”,“设置发卡器通讯参数”,如图11所示界面。 图11 图12

出现如图13所示界面。 图13 设置串口为刚才设备管理器中看到的COM5,点击“通讯测试”,若通信正常会出现图12所示界面。单击保存。 此时可以看到主界面“远距离发卡器通信设置”变绿,表示计算机与发卡器通信正常。此时即可对卡片进行发行授权等操作。 三、发行卡片 在卡片栏点击“远距离卡片发行”,弹出图15所示界面。 图15 1、发行单张卡片 点击“增加”,在“卡片发行记录编辑”处填写卡片信息,其中“卡片类型”、“有效日期”、“车辆类别”、“付款金额”和“可出入以下车场”为必选项。填写完毕后单击“存储”,弹出图16界面,点击确定,弹出图17界面。 图16 图17 2、批量发行卡片 点击“批量发行”,弹出图18所示界面,填写卡片发行参数,其中“卡片类型”、“有效日期”、“车辆类别”、“付款金额”和“可出入以下车场”为必选项。点击“开始发行”,弹出图19所示界面,将卡片对准发卡器的红外激活窗口,当提示“卡片内码XXXXXXX已发行”表示卡片已经发行好。

M218 快速入门手册_V1.2

M218 快速入门手册

章节目录 第一章 创建新项目信息 第二章 创建应用程序 2.1 M218程序结构概述 2.2 创建POU 2.3 将POU添加到应用程序 2.4 与HMI通过符号表的方式共享变量 第三章 创建你的第一个应用程序 3.1 应用需求概述 3.2 编写第一行程序 3.3 映射变量到输入,输出 3.4 以太网通讯程序实例 第四章 编写定时器周期应用程序 4.1 应用需求概述 4.2 编写定时器控制周期运行程序 第五章 离线仿真PLC运行 第六章 编写计数器控制水泵启停应用程序 6.1 应用需求概述 6.2 编写计数器控制水泵启停应用程序 第七章 使用施耐德触摸屏(HMI)控制灌溉系统

7.1 应用需求概述 7.2 共享M218控制器和触摸屏的变量 7.3 添加、配置触摸屏到项目 7.4 触摸屏软件共享M218变量

关于快速入门手册 综述 本手册对M218软件进行快速而简单的介绍,目的是用户通过对本章节的阅读,学习软件的基本操作,能够快速的掌握软件的操作,独立 编写、调试技术的应用程序。 本章内容

1.1创建新项目信息 简述 本节简述使用SoMachine软件建立新项目,配置客户信息。以及选择、配置M218CPU本体和扩展模块的操作。 过程 如果您已安装SoMachine软件,请按照下述步骤进行操作: 建立新项目: 选择创建新机器-使用空项目启动 点击后选择项目保存路径例:D/快速入门/例程_1,保存。

进入属性页面,根据提示输入项目信息:作者,项目描述,设备图片等信息 配置M218 CPU 点击配置菜单,进入配置画面。在左侧的控制器列表中选择控制器型号:TM218LDA40DRPHN,拖入配置中间空白区域。 双击CPU图片右侧的 “扩展模块”,弹出扩展模块列表,选择 模块并选择关闭对话框。

GHOST使用方法详细图解教程

GHOST使用方法详细图解教程 文章是转来的,也不知道人家是否原创,网上这类帖子很多,这个讲的比较细,列出来单独算一篇教程。正文开始: 很久以前就想做一个关于此类的网页,但一直找不到比较好的在纯DOS下抓图的软件,现在偶然在网上看见了图片,此处借鉴一下。由于是别人的图,或有小不同,但大体一样。 Ghost是一个备份软件,它能将一个分区内所有文件进行备份,通常我们是将系统盘C盘的所有文件制作成一个压缩文件,存放在电脑其它安全的分区内,在系统出现任意已知或未知的问题时候再

启动GHOST,提取此备份文件,还原到系统盘C盘以保证系统正常安全运行。GHOST适用于各种操作系统。名词解释 镜像文件:此处泛指GHOST软件制作成的压缩文件,以.gho为后缀,在ghost中显示为黄色 源盘:即将要备份的磁盘,一般情况下我们泛指操作系统盘如C盘 镜像盘:存放备份镜像的磁盘,一般情况下我们泛指文件存放盘D盘或 E F G 盘 打包、制作镜像文件:通常是指将操作系统盘如C盘经压缩后存放在其它盘,如D盘里面 解包、还原镜像文件:通常在系统盘如C盘出现错误或病毒木马后,将存放在其它盘里面的镜像文件还原到系统盘内,以求能恢复干净、良好的操作系统 我写这个网页是在一个前题下的: 1.针对没有软驱、没有光驱、没有引导光盘,也不会用光盘启动的人,能够在本地硬盘上简单操作就可维护好的 2.针对对电脑不是太懂或十分不懂的朋友所写的,我不能要求到他们了解到各种分区格式、内存交换文件、休眠文件;故而GHOST的相当多的其它功能都没有讲到,仅仅只讲到了最基本最实用的部分,一下要求他们了解到许多,他们或者说会望而却步的,只有当他们了解到了初步再在实用阶段,慢慢的一步一步熟悉,他们或才会一通百通的 3.个人主张:如无特别必要,不要在系统上安装限制性的软件或系统优化软件,通常都没有实际用处,而通常有副作用的通常只有RMB能够真正优化到电脑 一、使用GHOST之前必定要注意到的事 1.在备份系统时单个的备份文件最好不要超过 2GB 如果超过了2GB 程序会自动产生一个后缀名为如00100001.GHS 的文件请您一定要保证此文件与镜像文件在同一文件夹内没有此文件是不能还原成功的建议您新建一个文件夹名为 001 (如果您不断备份您可以依次新建文件夹名为 002 003 004 依此类推) 将镜像放置在里面GHOST 建议您选用选用最新的版本号需要最少 GHOST8.0版本或以上的版本

C3系统快速入门系列-考勤系统操作手册-V1.0

文档编号:ICSE1104009 版本号: 1.0 C3.2006一卡通系统 软件操作快速入门考勤系统操作手册 深圳达实信息技术有限公司 2011年4月

目录 一、系统概述 (1) 二、系统模块图 (2) 三、系统功能说明及操作方法 (3) 3.1 参数设定 (3) 3.2 排班设定 (6) 3.3 假期设定 (11) 3.4 数据处理 (20) 3.5 数据呈现 (25)

一、系统概述 考勤管理系统是C3企业版应用模块之一,结合达实公司的考勤门禁机,采用最先进的非接触式IC 卡,实现考勤的智能化管理。 本套系统考虑非常周全,工作方式、周休日、节假日、加班、请假、出差等等考勤相关因素都在考虑之列;对于调班、轮休、计时、直落等也有灵活的处理。 在排班方面精确到了每人每天,具有5级排班组合,并可套用设定好的排班规律,且排班时使用万年历,使得排班灵活轻松方便。 系统还首次引用了“班包”概念,将多个基本班次集合成一个班包,有效地解决了模糊班次的处理问题。 独特的72小时(昨天今天明天)时间坐标,使得跨天班、跨天打卡等以前比较棘手的问题变得相当简单,也使得分析速度有很大的提高。 内嵌的自定义报表系统实际上是一个功能强大的中文报表制作系统,它使得报表的制作不再单是开发人员的事,技术服务人员甚至用户都可以制作精美的报表。

二、系统模块图 全局参数 基本班次 请假类型 加班类型 排班分组 工作方式设定 工作方式维护 周休日设定 周休日维护 排班规律 排班查询及批次调班 排班表建立 排班表维护 假期分组 打卡数据 数据分析 考勤结果观察 考勤结果维护 报 表 自定义统计项目设置 自定义统计项目浏览 会计期间统计表 参数设定 排班设定 数据处理 数据呈现 数据结算 考勤智能管理系统 出差类型 当前会计期间设置 期间结算 数据采集 加班控制 加班条 节假日设定 节假日维护 打卡数据更改方案 假期设定 年假控制 请假条 出差条

erp系统实施项目操作手册sap基础入门

erp系统实施项目操作手册sap基础 入门 1 2020年4月19日

北京能源投资(集团)有限公司ERP系统实施项目 用户手册 SAP基础入门

目录 1.SAP基础入门 ................................................................ 错误!未定义书签。 1.1 安装SAP客户端:SAP_GUI_7.10 .............................. 错误!未定义书签。 1.2 安装SAP客户端补丁................................................. 错误!未定义书签。 1.3 配置SAP客户端 ........................................................ 错误!未定义书签。 1.4 登陆SAP系统 ............................................................ 错误!未定义书签。 1.5 修改密码 .................................................................... 错误!未定义书签。 1.6 同一用户多次登录..................................................... 错误!未定义书签。 1.7 快速启动配置 ............................................................ 错误!未定义书签。 1.8 快捷方式登陆SAP系统............................................. 错误!未定义书签。 1.9 编辑个人收藏夹......................................................... 错误!未定义书签。 1.10 设置个人显示参数..................................................... 错误!未定义书签。 1.11 常见系统按钮介绍..................................................... 错误!未定义书签。

BT3使用方法-图文教程

下载U盘版bt3的文件 地址为:http://cesium.di.uminho.pt/pub/backtrack/bt3final_usb.iso 文件大概为 783MB 2.将此ISO文件加载到虚拟光驱中,在虚拟光驱中,得到如下文件: 当然,你也可以用winrar解压到目录中,估计应该没啥问题,因为空间问题,我就不想解压了我是直接加栽到虚拟光驱来用的,省空间,无需解压 3.将虚拟光驱中的文件也就是BT3,BOOT这两件文件夹copy到U盘中去 4.点击开始-运行,输入CMD, 然后回车,进入命令行模式 输入U盘的盘符,我的为I:,然后 回车 输入 cd boot 回车输入bootinst.bat回车,就会开始制作BT3 开始制作时,会出现这样的画面,大概意思就是,此操作将会重写U盘的 MBR(即引导区),如果此分区是您的一个硬盘上的分区,那您的Windows系统 将会启动不了,请小心,按X键中止操作,按其他任意键继续制作 我就是在U盘上操作的,所以不用理会,按任意键就可以了。

上面的图,是制作完成后的提示,设置引导记录成功,按任意键退出制作 制作的过程很快,大概不到十秒,其他,他只是重新写了一下U盘的引导区 就OK了 到此为止,此U盘就可以引导系统进去bt3了 ----------------------------------------------------我是下流的分割线----------------------------------- 破解:引导系统进入BT3的选择画面,选择后面带有KDE的BT3即可 1.进入bt3桌面后,需要用到的就是命令行模式,其实也不难,注意,我们要启动 bt3的命令行状态,点击下面这个图标就会启动bt3的命令行状态 2.点击图标,进入命令行状态,输入命令:ifconfig –a此命令,就是列出你电脑中的网卡,如果没有列出,那说明是不支持了,如果列出了,说明支持,也不一定能抓包

相关主题
文本预览
相关文档 最新文档