当前位置:文档之家› 数电课程设计知识讲解

数电课程设计知识讲解

数电课程设计知识讲解
数电课程设计知识讲解

数电课程设计

一、数字电子钟

1.设计目的

(1)培养数字电路的设计能力。

(2)掌握数字电子钟的设计、组装和调试方法。

2.设计内容及要求

(1)设计一个数字电子钟电路。要求:

①按24小时制直接显示“时”、“分”、“秒”。

②当电路发生走时误差时具有校时功能。

③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。

(2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。

(3)画出各单元电路图、整机逻辑框图和逻辑电路图,写出设计、实验总结报告。(4)选作部分:①闹钟系统。②日历系统。

3.数字电子钟基本原理及设计方法

数字电子钟的逻辑框图如图14-1-1所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路和整点报时电路组成。振荡器产生的脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有的数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应的辅助电路。

图14-1-1 数字电子钟的基本逻辑框图

(1)振荡分频电路

振荡器是数字电子钟内部用来产生时间标准“秒”信号的电路。构成振荡器的电路很多,图14-1-2(a)是RC环形多谐振荡器,其振荡周期T≈2.2RC。作为时钟,最主要的是走时准确,这就要求振荡器的频率稳定。要得到频率稳定的信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图14-1-2(b)所示,这种电路的振荡频率只取决于石英晶体本身的固有频率。

u O

(b)

图14-1-2 振荡器

(a)RC环形多谐振荡器(b)石英晶体多谐振荡器

由于石英晶体振荡器产生的频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到

1Hz的方波信号作为秒脉冲。

(2)计数器

把秒脉冲信号送入秒计数器个位的CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位的计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。

24进制计数器如图14-1-3所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出的进位信号)到来时,十位计数器的状态为0010,个位计数器的状态位0100,此时“时”十位计数器的Q B6和“时”个位计数器的Q C5输出为1。两者相与后送到两计数器的清零端R0A和R0B,通过74LS90内部的R0A和R0B与非后清零,完成24进制计数。同理可构成60进制计数器。

CP

来自分计数器

的进位信号

图14-1-3 24进制计数器

(3)译码显示电路

译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48和七段显示器的使用方法前面已经作了介绍,这里不再赘述。(4)校时电路

当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路的方法很多,如图14-1-4所示电路即可作为时计数器或分计数器的校时电路。

CP端

图14-1-4 校时电路

现设用该电路作为分计数器的校时电路,图中采用RS 触发器作为无抖动开关。通过开关K 的接入位置,可以选择是将“1 Hz 信号”还是将“来自秒计数器的进位信号”送至分计数器的CP 端。当开关K 置于B 端时,RS 触发器的输出1=Q 、0=Q ,“来自秒计数器的进位信号”被送至分计数器的CP 端,分计数器正常工作;需要校正分计数器时,将开关K 置于A 端,这时RS 触发器的输出0=Q 、1=Q ,“1 Hz 信号”被送至分计数器的CP 端,分计数器在“1Hz 信号”的作用下快速计数,直至正确的时间,再将开关K 置于B 端,达到了校准时间的目的。 (5)整点报时电路

电路的设计要求在差10 s 为整点时开始每隔1 s 鸣叫一次,每次持续时间为1 s ,共鸣叫5次,前4次为低音500 Hz ,最后一次为高音1 kHz 。因为分计数器和秒计数器从59分51秒计数到59分59秒的过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器的状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y 1=

Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz 信号f 2;59秒时Q A1=1,Q D1=1,输出1kHz 信号f 1,由此可写出整点报时电路的逻辑表达式为:

21D 1A 111D 1A 12f Q Q Y f Q Q Y Y +=

用与非门实现,则整点报时电路如图14-1-5所示。

图中音响电路采用射极输出器,推动8Ω的喇叭。三极管基极串接1 k Ω限流电阻,是为了防止电流过大损坏喇叭,在集电极也串接51Ω限流电阻。三极管选用高频小功率管即可。

4.组装和调试要点

在实验箱上组装电子钟,组装时应严格按图连接引脚,注意走线整齐,布局合理,器件的悬空端、清0端、置1端要正确处理。调试步骤和方法如下:

(1)用数字频率计测量晶体振荡器输出频率,用示波器观察波形。晶体振荡器输出频率应为4 MHz ,同时波形为矩形波。

(2)将频率为4 MHz 的信号送入分频器各输入端,并用示波器检查各级分频器的输出频率是否符合设计要求。

(3)将1 s 信号分别送入“时”、“分”、“秒”计数器,检查各级计数器的工作情况。若不正常,则可依次检查显示器、译码驱动器、计数器及计数器的反馈归零电路。 (4)观察校时电路的功能是否满足校时要求。

(5)将时间调整到59分50秒,观察报时电路能否准确报时。 (6)整机联调,使数字电子钟正常工作。

Q

图14-1-5 整点报时电路

5.供参考选择的元器件

(1)集成电路:74LS90 12片,74LS48 6片,74LS00 6片,74LS20 2片。

(2)电阻:1 kΩ 3个,10 kΩ 4个,51 Ω 1个。

(3)电容:0.01μF 2个。

(4)三极管:3DG12 1个。

(5)其它:共阴极显示器 6个,4 MHz石英晶振 1片,8Ω扬声器 1个。

二、交通信号灯

1.设计目的

(1)培养数字电路的设计能力。

(2)掌握交通信号灯控制电路的设计、组装和调试方法。

2.设计内容及要求

(1)设计一个交通信号灯控制电路。要求:

①主干道和支干道交替放行,主干道每次放行30 s,支干道每次放行20 s。

②每次绿灯变红灯时,黄灯先亮5 s钟,此时原红灯不变。

③用十进制数字显示放行及等待时间。

(2)用中、小规模集成电路组成交通信号灯电路,并在实验仪上进行组装、调试。(3)画出各单元电路图、整机逻辑框图和逻辑电路图,写出设计、实验总结报告。(4)选作部分:采用倒计时的方式显示放行及等待时间。

3.交通信号灯基本原理及设计方法

十字路口的红绿灯指挥着行人和各种车辆的安全通行。有一个主干道和一个支干道的十字路口如图14-2-1所示。每边都设置了红、绿、黄色信号灯。红灯亮表示禁止通行,绿灯亮表示可以通行,在绿灯变红灯时先要求黄灯亮几秒钟,以便让停车线以外的车辆停止运行。因为主干道上的车辆多,所以主干道放行的时间要长。

要实现上述交通信号灯的自动控制,则要求控制电路由时钟信号发生器、计数器、主控制器、信号灯译码驱动电路和数字显示译码驱动电路几部分组成,整机电路的原理框图如图14-2-2所示。

绿黄红

红黄绿支干道

主 干 道

图14-2-1 十字路口图 图14-2-2 交通信号灯控制电路框图

(1)主控制器

十字路口车辆运行情况只有4种可能:①设开始时主干道通行,支干道不通行,这种情况下主绿灯和支红灯亮,持续时间为30 s 。②30 s 后,主干道停车,支干道仍不通行,这种情况下主黄灯和支红灯亮,持续时间为5 s 。③5 s 后,主干道不通行,支干道通行,这种情况下主红灯和支绿灯亮,持续时间为20 s 。④20 s 后,主干道仍不通行,支干道停车,这种情况下主红灯和支黄灯亮,持续时间为5 s 。5 s 后又回到第一种情况,如此循环反复。因此,要求主控制器电路也有4种状态,设这4种状态依次为:S 0、S 1、S 2、S 3。状态转换图如图14-2-3所示。

5 s X X

图14-2-3 主控制器的状态图 图14-2-4 主控制器的逻辑图

设S 0=00,S 1=01,S 2=10,S 3=11。实现这4个状态的电路,可用两个触发器构成,也可用一个二-十进制计数器或二进制计数器构成。如用二-十进制计数器74LS90实现,采用反馈归零法构成4进制计数器,即可从输出端Q B Q A 得到所要求的4个状态。逻辑图如图14-2-4所示。为以后叙述方便,设X 1=Q B ,X 0=Q A 。 (2)计数器

计数器的作用有二:一是根据主干道和支干道车辆运行时间以及黄灯切换时间的要求,进行30 s 、20 s 、5 s3种方式的计数;二是向主控制器发出状态转换信号,主控制器根据状态转换信号进行状态转换。计数器除需要秒脉冲作时钟信号外,还应受主控制器的状态控制。计数器的工作情况为:计数器在主控制器进入状态S 0时开始30 s 计数;30 s 后产生归零脉冲,并向主控制器发出状态转换信号,使计数器归零,主控制器进入状态S 1,计数器开始5 s 计数;5 s 后又产生归零脉冲,并向主控制器发出状态转换信号,使

计数器归零,主控制器进入状态S 2,计数器开始20 s 计数;20 s 后也产生归零脉冲,并向主控制器发出状态转换信号,使计数器归零,主控制器进入状态S 3,计数器又开始5 s 计数;5 s 后同样产生归零脉冲,并向主控制器发出状态转换信号,使计数器归零,主控制器回到状态S 0,开始新一轮循环。

根据以上分析,设30 s 、20 s 、5 s 计数的归零信号分别为A 、B 、C ,则计数器的归零信号L 为:

C B A L ++=

其中:

?

??

??=+=+=====1

A 1C 01A 1C 011A C1012A 2

B 32A 2B 12

B 012B 22A 2B 012A 2B 0Q Q X Q Q X X Q Q X X Q Q S Q Q S

C Q X X Q S B Q Q X X Q Q S A 考虑到主控制器的状态转换为下降沿触发,将L 取反后送到主控制器的CP 端作为主控制器的状态转换信号。计数器的逻辑图如图14-2-5所示。

CP

图14-2-5 计数器

(3)控制信号灯的译码电路

主控制器的4种状态分别要控制主、支干道红、黄、绿灯的亮与灭。设灯亮为1,灯灭为0,则控制信号灯的译码电路的真值表如表14-2-1所示。

表14-2-1 控制信号灯的译码电路的真值表

??

?

??=====+=+=0100

111010132X

X S G X X S Y X X X X X S S R

??

?

??=====+=+=0120

131010110X

X S g X X S y X X X X X S S r 译码电路的逻辑图如图14-2-6所示。

X 1 X 0

图14-2-6 控制信号灯的译码电路

4.组装和调试要点

在实验箱上按各单元电路分别搭接主控制器、计数器、信号灯译码器、数字显示译码器

和秒脉冲信号发生器。然后按照以下步骤进行调试:

(1)秒脉冲信号发生器的调试,可按照数字电子钟的方法逐级调试振荡电路和分频电路,使输出频率符合设计要求。

(2)将秒脉冲信号送入主控制器的CP 端,观察主控制器的状态是否按照00→01→10→11→00→…的规律变化。

(3)将秒脉冲信号送入计数器的CP 端,接入主控制器的状态信号X 1、X 0,并把主控制器的状态转换信号送入主控制器的CP 端,观察计数器是否按30 s 、5 s 、20 s 、5 s 、 30 s ……循环计数。

(4)把主控制器的状态转换信号X 1、X 0接至信号灯译码电路,观察6个发光二极管是否按设计要求发光。

(5)整机联调,使交通信号灯控制电路正常工作。 5.供参考选择的元器件

(1)集成电路:74LS90 9片,74LS48 2片,74LS00 6片,74LS20 2片。 (2)电阻:1 k Ω 2个。 (3)电容:0.01 μF 2个。

(4)其它:发光二极管6个(红、黄、绿各2个),共阴极显示器2片,4 MHz 石英晶振1片。

数字电路课程设计数字时钟

数字电路课程设计 数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。经过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。

(2)系统框图。 系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相

连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 5.显示模块:由7段数码管来起到显示作用,经过接受CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 三、秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

模电课程设计报告

模电课程设计实验报告课题:函数信号发生器 指导老师:________________ 学院:___________________ 班级:___________________ 姓名:___________________ 学号:___________________

日期:__________________ 一.设计目的与要求 1.1设计目的 1.设计电路产生RC桥式正弦波产生电路,占空比可调的矩形波电路,占空比可调的三角波电路,多用信号源产生电路,分别产生正弦波、方波、三角波 2.通过设计,可以将所学的电子技术应用到实际当中,加深对信号产生电路的理解,锻炼自己的动手能力与查阅资料的能力。使自己的对模电的理解更为透彻。 1.2设计内容及要求 1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。

(3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。 软件仿真部分元器件不限,只要元器件库中有即可,但需要注意合理选取。 二.单信号发生电路 2、1 RC桥式正弦波产生电路 参数计算:

器件选择: 2、2占空比可调的矩形波产生电路 参数计算: 器件选择:

2、3占空比可调的三角波产生电路 参数计算: 器件选择:

工程测量课程设计报告书

工程测量课程设计

桥梁平面控制网设计 1.概述 以矿大北门的桥为原型,假定北门河流宽1.4km,现准备修建一条跨河大桥,桥梁轴线位置自定,控制点自选。 桥梁平面控制网分两级布设。首级控制网主要控制桥的轴线;为了满足施工中放样每个桥墩的需要,在首级控制网下要加设一定的差点或插网,构成第二级控制。由于放样墩台的精度要求较髙,故第二级控制网的精度应不低于首级网。 2.桥轴线长度精度与桥梁墩台定位精度的确定 (1)桥轴线长度精度 设计该大桥钢梁长度为100m,而由5个20m长的节间所组成。《铁路钢桥制造规 则》规定:怯=土炉时如=±2.12加丿节间拼装孔距误差为土 0.5mm;每一下鬥对刖jig.的倂衣阮左川 (一般取2 mm)对n节间拼装的一跨或一联甫人厂=+、/”人#装误差L和支座安装容许误差(7mm)长).Ar/ = ±J+ 5, = 土/込厂+ 每跨(联)钢梁安装后的容许误差为:对于钢板梁及短跨(W64m)简支钢桁梁、钢筋混凝土梁与预应力混凝土梁等. 长度拼装误差按规取为:±L/5000 每跨(联)钢梁安装后的容许误差为:±8. 3mm 有14跨,则全长极限误差为:±31. lmm 取1/2极限误差为中误差,则全桥轴线长的相对中误差为:md/D=AD/2D=l/90032 由此,便可根据《测规》的“控制测边网的等级和精度”的规定来选择施测的测边网 桥梁墩台中心放样的精度要求 桥墩中心位置偏移,将为架设造成困难,而且会使墩上的支座位置偏移,改变桥墩的应力,影响墩台的使用寿命和行车安全。因此,建立控制网不但要保证桥轴线长度有必要的精度,而且要保证墩台中心定位的精度。 工程上对放样桥墩的位置要:钢梁墩台中心在桥轴线方向的位置中误差不应大于1. 5cm?2. 0cm。 根据"控制点误差对放样点位不发生显著影响”的原则,当要求控制网点误差影响仅占总误差的1/10时,对控制网的精度要求分析如下: 设M为放样后所得点位的总误差;

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

工程测量课程设计报告

课程编号:SJ000350 2016年6 月3 日至2016 年6 月10 日 课程性质:必修 工程测量学课程设计报告 --建筑场地施工控制网的建立及建筑物放样方案设计 学 院: _____________ 矿业工程学院 _______________ 专 业: _______________ 测绘工程 _________________ 地 点: 太原理工大学虎峪校区 _____________________ 班 级: ______________ 测绘1301班 _______________ 姓 名: __________________________________________ 学 号: __________________________________________ 指导教师: _______________________________________

、工程概况 (1) 1.1 工程任务 (1) 1.2 工程的地理位置 (1) 1.3 工程简介 (1) 1.4 已有的测绘成果 (1) 二、............................................................. 体育馆施工控制网的建立 2 2.1 概述 (2) 2.1.1 建筑施工控制网的特点 (2) 2.1.2 施工控制网的精度 (2) 2.2 平面控制方案 (4) 2.2.1 点位布置方案 (4) 2.2.2 控制网网形简介、网形选择,控制网布设方案及示意图 (4) 2.3高程控制方案 (5) 2.3.1 点位布置方案 (6) 2.3.2 控制网布设方案及示意图 (6) 三、体育馆施工放样方案 7 3.1施工放样方法 (7) 3.2体育馆施工放样方案设计 (7) 3.3实施步骤及应注意的事项 (9) 3.4方案评价 (10) 四、............................................................................... 总结 10

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

模电实验报告

模拟电子电路课程设计报告书 题目名称:直流稳压电源 姓名:刘海东潘天德 班级:15电科2 学号:23 26 日期:2017.6.11

目录 绪论 (2) 一设计目的 (3) 二设计要求与指标 (3) 三理论分析 (4) 四器件选择及计算 (9) 五具体制作步骤 (12) 六测试方法 (13) 七问题及总结 (15) 八心得体会 (17) 绪论 直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压器把市电交流电压变为所需要的低压交流电。整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的+/- 5v直流电,并实现电压可在8-15V连续可调。电源在生活中是非常常见的一种电器,任何电子电路都离不开电源,就像我们下学期即将学到的单片机一样,需要5V的直流电源,没有电源就不能进行正常的工作,如果用干电池进行供电,则有供电功率低,持续供电能力差,成本高等缺点。而交流电在产生、电能输送等方面具有独特的优点,发电站、各市电网中的电能传输都是以交流电的形式进行输送,如果我们对市电提供的电压进行降压整流等,把交流电转换成直流电,以获得我们所

需要的电压。 一设计目的 1.学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。 2.学会直流稳压电源的设计方法和性能指标测试方法。 3.培养实践技能,提高分析和解决实际问题的能力。 二设计要求与指标 2.1设计要求 (1)分析电路组成及工作原理; (2)单元电路设计计算; (3)采用分立元件电路; (4)画出完整电路图; (5)调试方法; (6)小结与讨论。 2.2设计指标 (1)输出电压:8~15V可调 (2)输出电流:I O=1A (3)输入电压:交流 220V+/-10%

工程测量课程设计概论

工程测量学课程设计报告 学院:资源学院 专业:测绘工程 班级:测绘xxxx 姓名:xxxx 学号: 1105xxxx 2014年6月23日至 2014年6月30日

(一) 课程设计介绍 1、课程设计的目的 课程设计是课程学习后的一个学术性实践环节,将采取理论联系实际的方法,针对具体的工程项目进行设计,从而加深学生对工程测量学基本理论的理解,着重培养学生分析问题和解决问题的能力,是对课程理论的综合和补充,对加深课程理论的理解和应用具有重要意义。 2、课程设计的任务: (1)课程设计安排在本课程学习结束之后的进行。 (2)通过课程设计,培养学生运用本课程基本理论知识和技能,分析和解决课 程范围内的实际工程问题的能力,加深对课程理论的理解与应用。 (3)在指导老师的指导下,要求每个学生独立完成本课程设计的全部内容。 3、课程设计的基本要求 工程测量学课程设计要求每一个学生必须遵守课程设计的具体项目的要求,独立完成设计内容,并按时上交设计报告。在学习知识、培养能力的过程中,树立严谨、求实、勤奋、进取的良好学风。课程设计前学生应认真复习教材有关内容和《工程测量学》课程设计大纲与课程设计指导书,务必弄清基本概念和本次课程设计的目的、要求及应注意的事项,以保证按质、按量、按时完成设计任务。

(二) 支漳河区段工程测量设计方案设计 1、工程概况 1.1 地理概况 测区为邯市南湖公园附近的支漳河河段,支漳河位于邯郸县东部,其最近点,在县政府驻地南偏东一公里处。测区位于东经114°17′~114°21′、北纬36°41′~36°44′。支漳河于1957年开挖,因原系漳河支流故道,故称支漳河。河水自西南流向东北,上连南湖,贯穿东湖,下接广府湿地;河道自然弯曲,河岸两旁花草盈盈,意境优雅。支漳河市内段从南湖至规划中的东湖全长为14.9公里,占地面积6000亩。“支漳河治理工程主要对该段河道进行清淤疏浚、堤防加固、梯级拦蓄。”支漳河综合治理工程现已开工建设,届时将成为我市城市内最大最美的生态景观河流。测区位置如图1-1

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

模电课程设计实验报告分析

模电课程设计实验报告 实验内容:一、设计并制作一个能输出+5V 电压的直流稳压电源,输入电压为直流9V。二、利用课程设计(一)制作的电源、电压比较器、电压跟随器设计,驱动三 极管,通过可调电阻,控制LED灯的点亮和熄灭。 实验要求:(1)设计出+5V 直流稳压电源的电路原理图; (2)在万用板上焊接组装给定的元器件并进行调试,输入电压没有极性之分, 输出电压+5V,并点亮电源指示灯(红色); (3)设计一款电压比较器A,参考电压2.5V; (4)设计一款电压跟随器B,跟随电压比较器A 的电压; (5)驱动三极管,通过可调电阻,实现对LED(绿色)灯的控制; (6)完成课程设计报告的撰写。 实验原理: 一、制作稳定电压源 采用二极管、集成运放、电阻、稳压管、电容、二极管、LED发光二极管等元件器件。 输入电压为9V 的直流电源经桥式整流电路和滤波电路形成稳定的直流电源,稳压部分采用 串联型稳压电路。比例运算电路的输入电压为稳定电压;同时,为了扩大输出大电流,集 成运放输出端加晶体管,并保持射极输出形式,就构成了具有放大环节的串联型稳压电路。整体功能结构如图 直流9V 1、单相桥式整流电路 直流5V 为了将电压转换为单一方向的电压,通过整流电路实现。查阅资料可知单相整流电路有单相桥式整流电路(全波整流电路)。桥式整流电路巧妙地利用了二极管的单向导电性,将四个二极管分为两组,根据变压器次级电压的极性分别导通,将变压器次级电压的正极性端与负载电阻的上端相连,负极性端与负载电阻的下端相连,使负载上始终可以得到一个单方向的脉动电压。单相桥式整流电路,具有输出电压高,变压器利用率高、脉动系数小等优点。所以在电路中采用单相桥式整流电路。 2、滤波电路 整流电路滤波电路稳压电路

《工程测量学》课程设计与实习指导书解析

《工程测量学》 课程设计与实习指导书 中国矿业大学环境与测绘学院 测绘与地理信息系

目录 一、设计与实习目的 (1) 二、设计与实习要求 (1) 三、设计与实习主要内容 (1) 1、桥梁施工控制网的建立及桥台、桥墩放样方案设计 (1) 2、线路工程测量 (6) 3、建筑物方格网建立 (9)

、设计与实习目的 巩固和深化课堂教学内容,培养学生实际动手操作能力和分析问题解决问题能力。通过工程测量实习,使学生进一步加强对工程测量内容的理解,掌握工程控制网设计及精度估算、线路工程测量及建筑物控制网建立的方法;根据具体的工程要求,能编写出测量技术方案。 二、设计与实习要求 要求每一个学生必须遵守课程设计与实习的具体项目的要求,独立完成设计内容,并按时上交设计报告。以分组的形式提交实习报告。在学习知识、培养能力的过程中,树立严谨、求实、勤奋、进取的良好学风。课程设计与实习前学生应认真复习教材有关内容和《工程测量学》课程设计与实习大纲及课程设计与实习指导书,务必弄清基本概念和本次课程设计及实习的目的、要求及应注意的事项,以保证按质、按量、按时完成设计与实习任务。 三、设计与实习主要内容 1、桥梁施工控制网的建立及桥台、桥墩放样方案设计 (一)工程概况 如图1所示,某地区大桥位于某条江上,桥梁全长约1000m,桥面总宽18m, 结构形式为(30+5 X 40+30)m普通钢筋混凝土双悬臂加挂梁结构。桥的横断面由8 根变截面T 型梁组成。 (二)已有测绘成果 (1)桥址及周边1:500 地形图; (2)桥两岸有国家二等水准点各两个; (3)桥两岸有国家三角测量控制点各两个(可满足桥梁控制及施工测量要求)。

数电课程设计数字电子时钟样本

数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级: 姓名: 学号: 完成日期: -9 一、设计题目与要求

设计题目: 多功能数字钟 设计要求: 1.准确计时, 以数字形式显示时、分、秒的时间。 2.小时的计时能够为”12翻1”或”23翻0”的形式。 3.能够进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?( 1HZ) 进行计数的计数电路。由于计数的起始时间不可能与标准时间( 如北京时间) 一致, 故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号, 可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求, 时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态, 而且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管一般有发光二极管( LED) 数码管和液晶( LCD) 数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、 R2, 电容C1、 C2 构成一个多谐振荡器, 利用电容的充放电来调节输出V0, 产生矩形脉冲波作为时钟信号, 因为是数字钟, 因此应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路, 按时间进制从右到左构成从低位向高位的进位电路, 并经过译码显示。在六位LED 七段显示起

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

模电课设实验报告

河北科技大学 课程设计报告 学生姓名:xxx学号:120701103 专业班级:xxx 课程名称:模拟电子技术基础 学年学期:2 013 —2 014 学年第一学期指导教师:王彦朋蔡明伟 2 0 1 3 年12 月

课程设计成绩评定表

目录 一任务.................................................................................................................. - 1 - 二电路原理图...................................................................................................... - 1 - 三单元电路设计.................................................................................................. - 1 - 1.稳压电源单元电路设计............................................................................... - 1 - 2.正弦波单元电路设计................................................................................... - 2 - 3.方波单元电路设计....................................................................................... - 3 - (1)过零比较器及限幅电路.................................................................. - 3 - (2)反相比例运算放大电路.................................................................. - 4 - 4.三角波单元电路设计................................................................................... - 5 - 四元件明细表...................................................................................................... - 6 - 五安装与调试...................................................................................................... - 7 - 六收获体会.......................................................................................................... - 7 - 七附录.................................................................................................................. - 8 - 八参考文献.......................................................................................................... - 8 -

工程测量学设计指导书

《工程测量学》设计指导书〈供测绘工程专业使用〉

《工程测量学》课程设计指导书 《工程测量学》是高等学校中测绘工程专业本科生的一门重要专业技术课。根据我院测绘工程专业本科教学计划及该课程教学大纲的要求,学生在完成《工程测量学》理论学习后,必须进行为期一周的课程设计。由于本学科是集理论和实践为一体的学科,理论教学必须与工程实践紧密相结合,因此《工程测量学》课程设计将采取理论联系实际的方法,针对具体的工程项目进行设计,从而加深学生对工程测量学基本理论的理解,着重培养学生分析问题和解决实际工程问题的能力。 《工程测量学》课程设计是一次具体的、生动的、全面的、综合性的技术实践活动,在传授知识、开发智力、培养能力方面,具有更加重要的意义。尤其在培养学生独立工作能力方面,是其它任何教学环节所不能代替的。 一、课程设计班级、时间、地点和指导教师 本次《工程测量学》课程设计班级、时间、地点和指导教师如下:班级:测绘工程2011班,共70人; 时间:本学期的20周(2015年1月12日--1月16日),总计1周; 地点:本次课程设计计划在春晖书院和图书馆进行;

指导教师:为保证课程设计的顺利进行,安排燕志明、张会战、郭义、孙同贺、王翔分别带队指导1、2班,另安排党晓晶辅助指导设计工作。 二、课程设计的目的 《工程测量学》课程设计是该课程理论学习后的一个学术性实践环节,是对课程理论的综合和补充,对加深课程理论的理解和应用具有重要意义。 通过具体的工程项目设计,熟悉工程测量方案编写的要求,独立进行工程测量技术方案和施工方案的设计; 根据《工程测量规范》和相关的施工设计规范设计要求,保证设计的施工控制网和施工测量方案满足精度要求,并力求做到经济合理。 三、课程设计的任务 (1)该课的课程设计安排在理论学习和综合性实习结束之后进行的;时间为一周。 (2)通过课程设计,培养学生运用本课程基本理论知识和技能,分析和解决本课程范围内的实际工程问题的能力,加深对课程理论的理解与应用。 (3)在指导老师的指导下,要求每个学生独立完成本课程设计的全部内容。 四、课程设计任务及要求

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

模电实验报告

模拟电子技术基础实验报告 姓名:蒋钊哲 学号:2014300446 日期:2015、12、21 实验1:单极共射放大器 实验目的: 对于单极共射放大电路,进行静态工作点与输入电阻输出电阻的测量。 实验原理: 静态工作点的测量就是指在接通电源电压后放大器输入端不加信号(通过隔直电容 将输入端接地)时,测量晶体管集电极电流I CQ 与管压降V CEQ 。其中集电极电流有两种测量 方法。 直接法:将万用表传到集电极回路中。 间接法:用万用表先测出R C 两端的电压,再求出R C 两端的压降,根据已知的R E 的阻值,计 算I CQ 。 输出波底失真为饱与失真,输出波顶失真为截止失真。 电压放大倍数即输出电压与输入电压之比。 输入电阻就是从输入端瞧进去的等效电阻,输入电阻一般用间接法进行测量。 输出电阻就是从输出端瞧进去的等效电阻,输出电阻也用间接法进行测量。实验电路:

实验仪器: (1)双路直流稳压电源一台。 (2)函数信号发生器一台。 (3)示波器一台。 (4)毫伏表一台。 (5)万用表一台。 (6)三极管一个。 (7)电阻各种组织若干。 (8)电解电容10uF两个,100uF一个。 (9)模拟电路试验箱一个。 实验结果: 经软件模拟与实验测试,在误差允许范围内,结果基本一致。 实验2:共射放大器的幅频相频 实验目的: 测量放大电路的频率特性。 实验原理: 放大器的实际信号就是由许多频率不同的谐波组成的,只有当放大器对不同频率的放大能力相同时,放大的信号才不失真。但实际上,放大器的交流放大电路含有耦合电容、旁路电容、分布电容与晶体管极间电容等电抗原件,即使得放大倍数与信号的频率有关,此关系为频率特性。 放大器的幅频特性就是指放大器的电压放大倍数与输入信号的频率之间的关系。在一端频率范围内,曲线平坦,放大倍数基本不变,叫作中频区。在中频段以外的频率放大倍数都会变化,放大倍数左右下降到0、707倍时,对应的低频与高频频率分别对应下限频率与上限频率。 通频带为: f BW=f H-f L 实验电路:

大连理工大学数字电路课程设计报告_多功能数字时钟设计说明

理工大学本科实验报告 题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生: 学号: 完成日期: 2014年7月16日

2014 年 7 月 16 日 题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)

相关主题
文本预览
相关文档 最新文档