当前位置:文档之家› 南京工程学院EDA所有实验报告(包含程序以及波形图)潘清明

南京工程学院EDA所有实验报告(包含程序以及波形图)潘清明

南京工程学院EDA所有实验报告(包含程序以及波形图)潘清明
南京工程学院EDA所有实验报告(包含程序以及波形图)潘清明

电子设计自动化EDA技术实验K数控121

一位全加器源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY QJQ IS

PORT(A,B,CIN:IN STD_LOGIC;

SUM,CO:OUT STD_LOGIC);

END QJQ;

ARCHITECTURE ART1 OF QJQ IS

BEGIN

PROCESS(A,B,CIN)

BEGIN

IF(A='0'AND B='0'AND CIN='0')THEN

SUM<='0';

CO<='0';

ELSIF(A='1'AND B='0'AND CIN='0')THEN

SUM<='1';

CO<='0';

ELSIF(A='0'AND B='1'AND CIN='0')THEN

SUM<='1';

CO<='0';

ELSIF(A='1'AND B='1'AND CIN='0')THEN

SUM<='0';

CO<='1';

ELSIF(A='0'AND B='0'AND CIN='1')THEN

SUM<='1';

CO<='0';

ELSIF(A='1'AND B='0'AND CIN='1')THEN

SUM<='0';

CO<='1';

ELSIF(A='0'AND B='1'AND CIN='1')THEN

SUM<='0';

CO<='1';

ELSE

SUM<='1';

CO<='1';

END IF;

END PROCESS;

END ART1;

一位全加器

4位全加器

电子设计自动化EDA技术实验K数控121

8线-3线优先编码器源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY BMQ IS

PORT(IN1:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

SEL:IN STD_LOGIC;

Y:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);

YS:OUT STD_LOGIC;

YEX:OUT STD_LOGIC);

END BMQ ;

ARCHITECTURE ART3 OF BMQ IS

BEGIN

PROCESS(IN1,SEL)

BEGIN

IF SEL='1' THEN Y<="111";YS<='1';YEX<='1';

ELSIF IN1="11111111"THEN Y<="111";YS<='0';YEX<='1';

ELSIF IN1(7)='0' THEN Y<="111";YS<='1';YEX<='0';

ELSIF IN1(6)='0' THEN Y<="110";YS<='1';YEX<='0';

ELSIF IN1(5)='0' THEN Y<="101";YS<='1';YEX<='0';

ELSIF IN1(4)='0' THEN Y<="100";YS<='1';YEX<='0';

ELSIF IN1(3)='0' THEN Y<="011";YS<='1';YEX<='0';

ELSIF IN1(2)='0' THEN Y<="010";YS<='1';YEX<='0';

ELSIF IN1(1)='0' THEN Y<="001";YS<='1';YEX<='0';

ELSIF IN1(0)='0' THEN Y<="000";YS<='1';YEX<='0';

ELSE NULL;

END IF;

END PROCESS;

END ART3;

8线-3线优先编码器

电子设计自动化EDA技术实验K数控121

十进制计数器源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY JSQ IS

PORT(CP,LD,UD:IN STD_LOGIC;

D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

Q:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END ENTITY JSQ;

ARCHITECTURE ART OF JSQ IS

BEGIN

PROCESS(CP,D,LD)IS

BEGIN

IF(CP'EVENT AND CP='1')THEN

IF LD='1'THEN

Q<=D;

ELSIF UD='0'THEN

IF(Q=9)THEN

Q<="0000";

ELSE

Q<=Q+1;

END IF;

ELSE

IF(Q=0)THEN

Q<="1001";

ELSE

Q<=Q-1;

END IF;

END IF;

END IF;

END PROCESS;

END ARCHITECTURE ART;

移位寄存器源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY YWJCQ IS

PORT(CP,LD,LR:IN STD_LOGIC;

D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

DOT:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0)); END ENTITY YWJCQ;

ARCHITECTURE ART OF YWJCQ IS

BEGIN

PROCESS(CP,D,LD)IS

BEGIN

IF LD='1'THEN

DOT<=D;

ELSIF(CP'EVENT AND CP='1')THEN

IF LR='0'THEN

DOT<=DOT(0)&DOT(7 DOWNTO 1);

ELSE

DOT<=DOT(6 DOWNTO 0)&DOT(7);

END IF;

END IF;

END PROCESS;

END ARCHITECTURE ART;

发电厂电气部分课程设计(南京工程学院)

附录一:原始资料1.变电所有关资料(110/10kV) 变电所编号最大负荷 (MW) 功率因数 (COSφ) 负荷曲线 重要负荷 (%) A P1 0.9 A or B 65 B P2 0.9 A or B 70 C P3 0.9 A or B 55 L1 26 km,L2 15 km,L3 20 km,L4 24 km。注:A、B、C变电所分别由1/3的学生设计;P1~P3,L1~L4,每位学生一

组数据,互不相同。 2.环境温度 年最高温度40℃,最热月最高平均气温32℃ 3.变电所10kV侧过电流保护动作时间为1秒 4.110kV输电线路电抗按0.4Ω/km计 5.发电厂变电所地理位置图(附图一) 6.典型日负荷曲线(附图二)

附图一发电厂变电所地理位置图 G:汽轮机 QFQ-50-2,50MW COSφ=0.8,X〃 d =0.124 T:变压器 SF7-40000/121±2×2.5% P o = 46kW P K = 174kW I o % = 0.8 U K % = 10.5 附图二典型日负荷曲线

设计说明书 一、对待设计变电所在电力系统中的地位,作用及电力用户的分析: 1.1、变电所在电力系统中的地位与作用: 变电所是联系发电厂和电力用户的中间环节,起着电压变换和分配电能的作用。根据变电所在电力系统中的地位和作用不同,变电所可分为枢纽变电所、中间变电所、区域变电所和终端变电所。 ①枢纽变电所 变电所位于电力系统的枢纽点,汇集有多个电源(发电厂或其他电力网),连接电力系统的高压和中压,电压等级在330kV以上,负责向区域变电所和中间变电所供电。当其停电时,将引起电力系统解列甚至瘫痪。 ②中间变电所 中间变电所位于枢纽变电所和区域变电所之间,使长距离输电线路分段,其高压侧以交换潮流为主,起功率交换作用。它一般汇集2~3路电源,电压等级在220~330kV之间。除了通过功率外,它还降压向当地用户供电,当其停电时将使区域电网解列。 ③地区变电所 地区变电所负责向某一地区城市供电,高压侧电压等级一般为110kV或220kV,低压侧电压等级一般为110 kV或35 kV。当该变电所停电时将使该地区的供电中断。 ④终端变电所 终端变电所在输电线路的终端,直接向电力用户供电,高压侧电压一般为110 kV。当全所停电时,只影响该变电所的供电用户。 由发电厂变电所地理位置图可以得出,变电所A在整个供电网络中的作用为终端变电所。 (Ⅰ、Ⅱ级负荷,保证不间断供电:Ⅰ:两个独立电源供电;Ⅱ:双回路供电)

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称:《Java程序设计实验》 班级:信息1202 姓名:方逸梅 学号: 31 指导老师:费玉莲

《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 。 (四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容和要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验目的、实验原理和内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1.下载、安装并设置Java SDK 软件包。 2.熟悉Eclipse 编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application和Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { "Hello java! 欢迎使用!"); } }

实验二 运算器实验

南京工程学院 计算机工程学院 计算机组成与结构实验报告书 实验学生班级 K网络工程121 实验学生姓名王云峰 学号 240121525 实验地点信息楼A115 实验二运算器实验 同组同学李翔240121515(合作小组朱赛杰240121533)实验日期 11月27日实验仪器号 TEC-XP+14S022 一、实验目的 1.加深对Am2901运算器内部组成的了解, 掌握四片Am2901芯片间的连接关系, 以及它与有关外部逻辑电路的连接关系。 2.准确把握该运算器的控制与使用, 即掌握其运算与操作功能, 以及正确地为其提供全部控制信号及有关数据的手段与技术。 3.初步了解运算器在计算机整机中的作用。 二、实验内容 1.脱机方式下运算器的控制及运行设计控制信号序列,在脱机方式实现给定程序段的功能。记录按压START 前后的ALU的运算结果和状态标志。 2.联机方式下运算器的控制及运行在联机方式下,汇编并单步执行给定程序段,查看并记录每条指令执行后的运行结果。使用指令的单步骤执行方式,观察与运算器相关的控制信号的状态。 三、实验步骤与结果 脱机的运算器实验,在教学实验中实现如下7项操作功能: 预期功能实现方案 R0 ←1234 数据开关拨1234,B地址给0,D+0,结果送B口选的R0

R9 ←789F 数据开关拨789F,B地址给9,D+0,结果送B口选的R9 R9 ←R9-R0 B地址9,A地址给0,最低位进位给1,B-A,结果送B 口选的R9 R0 ←R0+1 B地址给0,最低位进位给1,B+0,结果送B口选的R0 R10←R0 B地址给A,A地址给0,A+0,结果送B口选的R10 逻辑右移 在有了预期功能和实现方案之后,要解决的具体问题,就是依据教学计算机的简明操作卡中的有关表格中规定的内容,找出实现每一操作功能要用到的控制码。请把表2-3中各组控制信号的正确的取值填写在相应位置,然后把运行结果的状态信息填入表2-4。

南京工程学院数控原理与系统课程设计_直线-直线刀具补偿刀补程序源代码

数控122 太劣阿昕哥 四、程序设计 Public X0 As Double, Y0 As Double, X1 As Double, Y1 As Double, X2 As Double, Y2 As Double, R As Double Public Xs1 As Double, Ys1 As Double, Xs2 As Double, Ys2 As Double Public Ori As Integer Public Xl1 As Double, Yl1 As Double, Xl2 As Double, Yl2 As Double, dX1 As Double, dY1 As Double, dX2 As Double, dY2 As Double, d1 As Double, d2 As Double Private Sub Command1_Click() Dim X1_FWD As Integer, Y1_FWD As Integer, X2_FWD As Integer, Y2_FWD As Integer Dim alfa As Double, beta As Double Call PaintAxis '绘制补偿前图像 Picture1.ForeColor = vbBlue Picture1.DrawWidth = 1 Picture1.Line (X0, Y0)-(X1, Y1) Picture1.Line (X1, Y1)-(X2, Y2) '算法设计 '计算坐标增量 dX1 = X1 - X0 dY1 = Y1 - Y0 dX2 = X2 - X1 dY2 = Y2 - Y1 alfa = Atn(dY1 / dX1) beta = Atn(dY2 / dX2) If dX1 >= 0 Then X1_FWD = 1 Else X1_FWD = -1 End If If dX2 >= 0 Then X2_FWD = 1

关于计算机实验报告的参考范文

关于计算机实验报告的参考范文 篇一 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件(夹)的选择、新建、移动、复制、删除、重命名的操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等

3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择发送到/桌面快捷方式 8.设置共享文件。右击王帅,选择属性/共享/在网络上共享这个文件/确定 9.显示扩展名。打开资源管理器/工具/文件夹选项/查看/高级设置,撤销隐藏已知文件的扩展名 (二)控制面板的设置。 1.设置显示属性。右击打开显示属性/桌面、屏幕保护程序 2.设置鼠标。打开控制面板/鼠标/按钮(调整滑块,感受速度)、指针 3.设置键盘。打开控制面板/键盘/速度(调整滑块,感受速度)、硬件 4.设置日期和时间打开控制面板/日期和时间

大学物理实验报告书(共6篇)

篇一:大学物理实验报告1 图片已关闭显示,点此查看 学生实验报告 学院:软件与通信工程学院课程名称:大学物理实验专业班级:通信工程111班姓名:陈益迪学号:0113489 学生实验报告 图片已关闭显示,点此查看 一、实验综述 1、实验目的及要求 1.了解游标卡尺、螺旋测微器的构造,掌握它们的原理,正确读数和使用方法。 2.学会直接测量、间接测量的不确定度的计算与数据处理。 3.学会物理天平的使用。 4.掌握测定固体密度的方法。 2 、实验仪器、设备或软件 1 50分度游标卡尺准确度=0.02mm 最大误差限△仪=±0.02mm 2 螺旋测微器准确度=0.01mm 最大误差△仪=±0.005mm 修正值=0.018mm 3 物理天平 tw-0.5 t天平感度0.02g 最大称量 500g △仪=±0.02g 估读到 0.01g 二、实验过程(实验步骤、记录、数据、分析) 1、实验内容与步骤 1、用游标卡尺测量圆环体的内外径直径和高各6次; 2、用螺旋测微器测钢线的直径7次; 3、用液体静力称衡法测石蜡的密度; 2、实验数据记录表 (1)测圆环体体积 图片已关闭显示,点此查看 (2)测钢丝直径 仪器名称:螺旋测微器(千分尺)准确度=0.01mm估读到0.001mm 图片已关闭显示,点此查看 图片已关闭显示,点此查看 测石蜡的密度 仪器名称:物理天平tw—0.5天平感量: 0.02 g 最大称量500 g 3、数据处理、分析 (1)、计算圆环体的体积 1直接量外径d的a类不确定度sd ,sd=○ sd=0.0161mm=0.02mm 2直接量外径d的b类不确定度u○ d. ud,= ud=0.0155mm=0.02mm 3直接量外径d的合成不确定度σσ○ σd=0.0223mm=0.2mm 4直接量外径d科学测量结果○ d=(21.19±0.02)mm d = 5直接量内径d的a类不确定度s○

南京工程学院 电气工程新技术 课设论文

南京工程学院 本科生课程论文(设计) 课程名称电气工程新技术 教师姓名张亮 学生姓名 学生学号 专业建筑电气与智能化 班级建筑电气111 日期: 2014 年12 月30 日

评语 对课程论文的评语: 平时成绩:课程论文成绩:总成绩:评阅人签名: 注:1、无评阅人签名成绩无效; 2、必须用钢笔或圆珠笔批阅,用铅笔阅卷无效; 3、如有平时成绩,必须在上面评分表中标出,并计算入总成绩。

储能技术现状及其前瞻性分析 (南京工程学院,江苏省南京市211167) Energy Storage Technologies and Prospective Analysis (Nanjing Institute of Technology,Nanjing of Jiangshu Province 21167) 摘要:近几十年来,储能技术蓬勃发展,为世界各国积极研究与发展。本文着重介绍电力储能技术的研发状况和应用实例,从技术层面加以分析,探讨储能技术的未来发展方向和应用前景。 关键词:储能技术;电力系统;研发现状;应用前景 Abstract:In recent decades, energy storage technology to flourish, for the world to actively research and development. This article focuses on the development status of the power storage technologies and application examples, analyzed from a technical level, to discuss the future direction of development of energy storage technologies and applications. Key words: Energy storage technology; Power systems; R & D status quo; Prospects

化学实验报告完整版

化学实验报告 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

化学实验报告 化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用。而化学实验是科学探究的重要形式。 用化学实验的方法学习化学,既符合化学的学科特点也符合学生学习化学的认识特点,是化学教学实施素质教育的基本手段。新课程标准提倡学生独立进行或合作开展化学实验研究。通过化学实验能激发学生的学习兴趣,帮助学生通过使用探究形成化学概念、理解化学基础理论、掌握化学知识和技能,培养学生的科学态度和价值观,帮助学生发展思维能力和训练实验技能,从而达到全面提高学生的科学素养的目的。 一、对新课程标准下的中学化学实验的认识 《普通高中化学课程标准》明确了高中化学课程的基本理念:立足于学生适应现代生活和未来发展的需要,着眼于提高21世纪公民的科学素养,构建“知识与技能”、“过程与方法”、“情感态度与价值观”相融合的高中化学课程目标体系。“知识与技能”即过去的“双基”;“过程与方法”是让学生掌握学习的方法,学会学习;“情感态度与价值观”是人文关怀的体现。所以新的课程理念的核心是“让学生在知识探索的过程中,在知识、学法、人文等方面得到发展。”其中第5条特别强调:“通过以化学实验为主的多种探究活动,使学生体验科学研究的过程,激发学习化学的兴趣,强化科学探究的意识,促进学习方式的转变,培养学生的创新精神和实践能力。”[1]高中化学课程由2个必修模

plc实习报告电镀流水线南京工程学院

成绩 南京工程学院 课程设计说明书(论文) 题目电镀流水线生产系统控制 课程名称机电传动控制课程设计 院(系、部、中心)机械工程学院 专业机械设计制造及其自动化 班级 学生姓名 学号 设计地点工程中心 指导教师蒋荣、马银忠 设计起止时间:2015 年 6 月8 日至2015 年6 月12 日

引言 随着社会的不断发展,科学技术的不断进步,人们已经对越来越多的事物不是进行手动操作,而是进行人工智能控制。例如智能机器人以及立体式存储仓库的产生等等,一改往日以前人们取料时间长,难度大,效率低。 本次课程设计题目配料车控制系统程序设计也正是在这样的背景下应运而生的,本次课程设计的目的是掌握机电传动控制系统的基本原理,PLC控制电路的设计方法以及继电器—接触器控制电路的PLC改造方法。掌握机电传动控制系统中继电器—接触器控制和PLC控制的基本原理,设计方法及两者的关系。掌握常用电器元件的选择方法。具备一定的控制电路的分析能力与设计能力。运用所学的可编程控制器的相关知识在实验电路板上实现小车取料的控制。改变以往人工取料时间长,难度大,效率低的缺点。提高工作效率,节省人力资源。 可编程序控制器(Programmable Logic Controller)是以微处理器为核心,综合了微电子技术、自动化技术、网络通讯技术于一体的通用工业控制装置。英文缩写为PC或PLC。它具有体积小、功能强、程序设计简单、灵活通用、维护方便等一系列优点,特别是它的高可靠性和较强的适应恶劣工业环境的能力,更得到用户的好评。因而在机械、能源、化工、交通、电力等领域得到了越来越广泛的应用,成为现代工业控制的三大支柱初期的PLC只是用于逻辑控制的场合,代替继电器控制系统。随着微电子技术的发展,PLC以微处理器为核心,适用于开关量、模拟量和数字量的控制,它已进入过程控制和位置控制等场合的控制领域。

实验报告格式模板-供参考

实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理 比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m 0—— 比重瓶的质重,g ; m s —— (比重瓶+粉体)的质重,g ; m sl —— (比重瓶+液体)的质重,g ; ρl —— 测定温度下浸液密度;g/cm 3; ρ—— 粉体的真密度,g/cm 3; 三.实验器材: l s sl l s m m m m m m ρρ) ()(00----=

(完整版)初中生物实验报告单.docx

实验报告单 实验时间年月日(星期)班级学生姓名 实验内容练习使用显微镜 说出显微镜的主要结构的名称和用途。 实验目的练习使用显微镜,学会规范操作显微镜。 尝试使用低倍镜观察到清晰的物像。 实验器材显微镜、写有“上”字的玻片、擦镜纸、纱布。 实验报告单实验时间年月日(星期)班级学 实验内容观察人和动物细胞的基本 学会制作人口腔上皮细胞临时装片。 实验目的用显微镜观察动物细胞的形态结构。 初步学会画细胞结构图。 显微镜、载玻片、盖玻片、0.9%生理盐水、碘液、 实验器材 吸水纸、其他动物细胞的永久装片。 实验步骤 1、取镜安放实 2、对光 3、放置玻片验 标本 步 4、观察 骤 实验步骤 5、收放 结 论 实验过程讨论分析 取显微镜时,左手握 显微镜是贵重仪器,双手取镜是为了。 住,右手托 安放显微镜略偏左的目的是: 住。安放显微镜应略 。 偏。 转动转换器,使低倍物镜对准当外界光源暗时,应选用光圈对准通光孔,同时选 孔。用反光镜。 把要观察的玻片放在 尽量使要观察的标本正对通光孔中央,这样物像容易 上,尽量使要观察的标本正对 在中找到。 中央。 转动粗准焦螺旋,使镜筒缓缓 眼睛应从侧面注视的目的是:避免 。 降,直至为 镜筒上升切忌太快,因为只有在 止,眼睛应从侧面注 位置上,物象才清晰。若镜筒上升太快,极易错过 视。 焦距。 时针转动粗准焦螺旋, 要将视野右下方的物像移到视野中央,则推移装片的 使镜简缓缓上升直到看清物像为 方向是。 止。再转动 “上”字装片在显微镜下呈图像。说明显微镜成像 准焦螺旋,使物像更清晰。 是。 实验过程讨论分析 实验后,把显微镜擦拭干净。 转动转换器使两个物镜。镜 筒降至处,反光镜放在 实 验 成 绩 实验步骤实验过程 为什 ①擦干净载玻片和盖玻片。 ②在载玻片中央,滴一滴 碎屑 实浓度一般是。 抹要均 1、制作人口腔 ③用消毒牙签的一端在口腔 侧壁轻刮几下。 验上皮细胞临时装避免 片。 ④把牙签上附有碎屑的一端, 放在载玻片的水滴中涂抹几下。 步⑤盖上盖玻片。 气泡与 ⑥在盖玻片一侧加在 骤 另一侧用吸水纸吸。 2、是微镜观察 人口腔上皮细胞 实验步骤实验过程讨论分 按生物绘图要求,画出人体口腔上皮细胞的结构 结图,并注明各部分结构的名称。实 验 成 论绩 指导教师: _________________实验教 指导教师: _________________实验教师:_______________

关于综合性设计性实验的说明

南京工程学院车辆工程系 关于综合性、设计性实验的说明 1、关于实验类型的说明: a. 演示性实验指为便于学生对客观事物的认识,以直观演示的形式,使学生了解其事物的形态结构和相互关系、变化过程及其规律的教学过程。 b. 验证性实验:以加深学生对所学知识的理解,掌握实验方法与技能为目的,验证课堂所讲某一原理、理论或结论,以学生为具体实验操作主体,通过现象衍变观察、数据记录、计算、分析直至得出被验证的原理、理论或结论的实验过程。 c. 综合性实验:是指实验内容涉及本课程的综合知识或与本课程相关课程知识的实验。 d. 设计性实验:是指给定实验目的、要求和实验条件,由教师给定实验目标,学生自行设计实验方案并加以实现的实验。 2、综合性、设计性实验的界定 综合性实验是指实验内容涉及本课程的综合知识或与本课程相关课程 知识的实验。是学生在具有一定知识和技能的基础上,运用某一门课程或多门课程的知识、技能和方法进行综合训练的一种复合型实验。根据定义,综合性实验内容应满足下列条件之一:①涉及本课程多个章节的知识点;②涉及多门课程的多个知识点;③多项实验内容的综合。 设计性实验是指给定实验目的、要求和实验条件,由学生自行设计实验方案并加以实现的实验。设计性实验一般是指导教师给出题目,由学生运用已掌握的基本知识、基本原理和实验技能,提出实验的具体方案、拟定实验

步骤、选定仪器设备、独立完成操作、编程、记录实验数据、绘制图表、分析实验结果等。 3、对综合性、综合性实验进行论证 论证专家组组长由院长或主管实验教学的副院长担任,成员不少于3人。应聘请该领域或与该领域相关的具有副高级以上职称的专家担任论证组成员。应有综合性、设计性实验教学大纲、综合性、设计性实验指导书;专家组根据实验目的、实施设想、所利用的知识以及实验条件要求等,进行实验属性判定和可行性论证。 对论证符合综合性或设计性实验要求的实验项目的教学过程要进行监 督和检查,对学生的实验报告、实验记录和结果等要进行抽查,确保实验内容符合综合性、设计性实验教学要求。对不符合综合性、设计性实验要求的实验项目,直接转为验证性实验。 4、综合性、设计性实验内容的确定及大纲编写 在确定综合性、设计性实验的实验内容时应充分考虑课程教学大纲的要求和课程特点。指导教师可选择一些灵活性比较大,完成思路比较多,学生有发挥余地的内容作为综合性、设计性实验的实验内容,且难度不宜太大,操作不宜太复杂。 在制订综合性、设计性实验大纲时除了一般实验大纲规定的内容外,应说明该实验为综合性或设计性实验的特性及要求。 综合性、设计性实验的实验学时一般在3-6学时,计划学时内不能完成的可在实验室的开放时间内完成。 5、综合性、设计性实验指导书编写

发电厂电气部分课程设计说明书(南京工程学院)

南京工程学院 课程设计说明书(论文) 题目110KV/10KV变电所电气部分设计 课程名称发电厂电气部分 院(系、部、中心)电力工程学院 专业电力系统继电保护 班级继保141 学生姓名 xxx 学号 206140616 设计地点经管D204 指导教师赵美莲 设计起止时间:2017年5月22日至2017 年6月2日 设计说明书

一、对待设计变电所在电力系统中的地位,作用及电力用户的分析: 1.1、变电所在电力系统中的地位与作用: 变电所是联系发电厂和电力用户的中间环节,起着电压变换和分配电能的作用。根据变电所在电力系统中的地位和作用不同,变电所可分为枢纽变电所、中间变电所、区域变电所和终端变电所。 ①枢纽变电所 变电所位于电力系统的枢纽点,汇集有多个电源(发电厂或其他电力网),连接电力系统的高压和中压,电压等级在330kV以上,负责向区域变电所和中间变电所供电。当其停电时,将引起电力系统解列甚至瘫痪。 ②中间变电所 中间变电所位于枢纽变电所和区域变电所之间,使长距离输电线路分段,其高压侧以交换潮流为主,起功率交换作用。它一般汇集2~3路电源,电压等级在220~330kV之间。除了通过功率外,它还降压向当地用户供电,当其停电时将使区域电网解列。 ③地区变电所 地区变电所负责向某一地区城市供电,高压侧电压等级一般为110kV或220kV,低压侧电压等级一般为110 kV或35 kV。当该变电所停电时将使该地区的供电中断。 ④终端变电所 终端变电所在输电线路的终端,直接向电力用户供电,高压侧电压一般为110 kV。当全所停电时,只影响该变电所的供电用户。 由发电厂变电所地理位置图可以得出,变电所A在整个供电网络中的作用为终端变电所。 (Ⅰ、Ⅱ级负荷,保证不间断供电:Ⅰ:两个独立电源供电;Ⅱ:双回路供电) 1.2、对电力用户的分析: 由任务书中,原始资料图表可得:B变电所的重要负荷占总负荷70%,按其供电可靠性的要求,负荷被分为三个等级,其中等级一,等级二为重要负荷,要保证不间断供电,通常,第一级负荷需要采用两个独立的电源供电,当其中的任一电源发生故障而停电时,不会影响另一个电源持续供电,保证供电连续性。第二等级要求双回路供电,按照此规则,A变电所70%的负荷采用双回路供电,30%负荷单回路供电。 由Pmax=P2=17MW,每回10KV馈线功率为2MW,则17*0.7=11.9MW。 重要负荷回路数:(11.9/2/0.9)*2≈14 (回) 非重要负荷回路数:(17-11.9)/0.9/2≈3(回)

化学实验报告格式模板.doc

化学实验报告格式模板 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 实验目的: 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。 实验原理: h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105,可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值8.4左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾标定: -cook -cooh +naoh=== -cook

-coona +h2o 此反应计量点ph值9.1左右,同样可用酚酞为指示剂。 实验方法: 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取0.4~0.5g邻苯二甲酸氢钾三份,分别置于250ml 锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴0.2%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。 二、h2c2o4含量测定 准确称取0.5g左右草酸试样,置于小烧杯中,加20ml蒸馏水溶解,然后定量地转入100ml容量瓶中,用蒸馏水稀释至刻度,摇匀。 用20ml移液管移取试样溶液于锥形瓶中,加酚酞指示剂1~2滴,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。平行做三次。 实验数据记录与处理: 一、naoh标准溶液的标定

数据结构实验报告

南京工程学院实验报告 操作的函数程序清单,分别用顺序表和链表结构完成,并在首页上表明团队名称、成员及个人的工作(函数),未来的成绩评定时将包含这一部分的团队成绩及个人的工作成绩。 一、实验目的 1.熟悉上机环境,进一步掌握语言的结构特点。 2.掌握线性表的顺序存储结构的定义及实现。 3.掌握线性表的链式存储结构——单链表的定义及实现。 4.掌握线性表在顺序存储结构即顺序表中的各种基本操作。 5.掌握线性表在链式存储结构——单链表中的各种基本操作。 二、实验内容 1.顺序线性表的建立、插入及删除。 2.链式线性表的建立、插入及删除。 三、实验步骤 1.建立含n个数据元素的顺序表并输出该表中各元素的值及顺序表的长度。 2.利用前面的实验先建立一个顺序表L={21,23,14,5,56,17,31},然后在第i个位置插入元素68。 3.建立一个带头结点的单链表,结点的值域为整型数据。要求将用户输入的数据按尾插入法来建立相应单链表。 四、程序主要语句及作用(main函数程序清单) 程序1的主要代码(附简要注释) #include #define MAXSIZE 1024 typedef int elemtype; typedef struct{ elemtype vec[MAXSIZE]; int len; }sequenlist; elemtype geti(sequenlist s, int i); elemtype deli(sequenlist *s,int i); elemtype insi(sequenlist *s,int i,int b); int main(int argc, char *argv[]){ int i,n,x; sequenlist a; printf("输入n(n>3):"); scanf("%d",&n);

实验报告格式模板

实验报告格式模板 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字 表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一)实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证XXX” ;分析XXX。 (二)所属课程名称 (三)学生姓名、学号、及合作者 (四)实验日期和地点(年、月、日) (五)实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六)实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程? (七)实验环境 实验用的软硬件环境(配置)。 (八)实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。

(九)实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1.文字叙述:根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2.图表:用表格或坐标图的方式使实验结果突出、清晰,便于相互比较, 尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3.曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 (十)讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的 理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (十-)结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (十二)鸣谢(可略) 在实验中受到他人的帮助,在报告中以简单语言感谢. (十三)参考资料 详细列举在实验中所用到的参考资料. 格式: 作者年代书名及页数出版社

大学计算机实验报告范例(完整版)

报告编号:YT-FS-1587-65 大学计算机实验报告范例 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

大学计算机实验报告范例(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件 (夹)的选择、新建、移动、复制、删除、重命名的 操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命

名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等 班/王帅、王鹏 3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择

南京工程学院机械设计制造及其自动化专业知识讲解

南京工程学院机械设计制造及其自动化专业 (计算机辅助制造与数控加工专业方向)2005级人才培养方案一.培养目标 培养能在机械制造及其自动化领域从事计算机辅助设计制造(CAD/CAM)技术应用,数控加工工艺、编程、数控机床操作维护的高级应用型工程技术人才。 二.业务培养要求 1)掌握必要的专业基础理论知识,主要包括工程力学、机械设计、电工电子等。 2)具有本专业必需的设计、计算、绘图、计算机应用、数控加工操作技能。 3)具有必要的专业技术知识:电气及PLC控制技术、数控机床、数控技术、CAD/CAM技术、模具制造、逆向工程等。 4)具有本专业较高的职业素养。 三. 主干学科及主要课程 主干学科:机械工程控制工程 主要课程:工程力学机械设计基础金属切削原理与刀具电工电子技术数控加工技术数控机床数控技术CAD/CAM 模具设计与制造逆向工程有限元分析 主要实践性教学环节:金工实习电工实习电子实习机械设计课程设计单片机原理课程设计工艺与夹具课程设计机床电气与PLC课程设计CAD/CAM实习数控加工实习三坐标测量与逆向工程实习模具设计与制造实习有限元课程设计毕业设计 主要专业实验:单片机原理及应用实验机床电气与PLC实验模具设计与制造实验逆向工程实验有限元分析上机数控技术实验 四.标准学制 四年。 五.学位授予 工学学士 六.毕业标准 本专业应修满208学分方可毕业,其中课外培养10学分。 七.教学计划 见专业指导性教学计划及其教学安排表。

(计算机辅助制造与数控加工专业方向)教学计划安排表

(计算机辅助制造与数控加工专业方向)教学计划安排表(续)

(计算机辅助制造与数控加工专业方向)教学计划安排表(续)

实验报告格式参考模板

实验报告格式参考模板 实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理

比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m0——比重瓶的质重,g; ms—— (比重瓶+粉体)的质重,g; msl—— (比重瓶+液体)的质重,g;ρl——测定温度下浸液密度;g/cm3;ρ——粉体的真密度,g/cm3; 三.实验器材: 实验仪器:真空干燥器,比重瓶;分析天平;烧杯。实验原料:金刚砂。 四.实验过程 1. 将比重瓶洗净编号,放入烘箱中于110℃下烘干冷却备用。 2. 用电子天平称量每个比重瓶的质量m0。 3. 每次测定所需试样的题记约占比重瓶容量的1/3,所以应预先用四分法缩分待测试样。 4. 取300ml的浸液倒入烧杯中,再将烧杯放进真空干燥器内预先脱气。浸液的密度可以查表得知。 5. 在已干燥的比重瓶里的物体受到向上的浮力作用,浮力的大小等于被该物体排开的液体的重力。 七.实验心得 以往的实验都是比着实验书本操作,而本次实验实验方案则是自己自主完成的,毫无经 12(4

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称: 《Java程序设计实验》 班级: 信息1202 姓名: 方逸梅 学号: 1212100231 指导老师: 费玉莲 《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 1、6。

(四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容与要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━实验目的、实验原理与内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1. 下载、安装并设置Java SDK 软件包。 2. 熟悉Eclipse编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application与Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { System、out、println("Hello java! 欢迎使用!"); } } } 结果示意图1

相关主题
文本预览
相关文档 最新文档