当前位置:文档之家› DSP实验报告

DSP实验报告

DSP实验报告
DSP实验报告

CENTRAL SOUTH UNIVERSITY

数字信号处理实验报告

题目正弦信号发生器

学生姓名刘景意

学院物理与电子学院

专业班级电子信息科学与技术1002班

完成时间2013.6.20

实验一正弦信号发生器

一、实验仪器:

PC机一台,JQ-SOPC-2C35实验箱一台及辅助软件(DSP Builder、Matlab/Simulink、Quartus II、Modelsim)。

二、实验目的:

1、初步了解JQ-NIOS-2C35实验箱的基本结构;

2、学习和熟悉基于DSP Builder开发数字信号处理实验的流程。

三、实验原理:

正弦波是一种基本信号,任何复杂信号都可由许多频率、幅度各不相同的正弦波复合而成。已知正弦波存在如下的关系:

α

αsin

π

+

sin(=

)

2

由以上公式可知,正弦波存在周期性,本实验就是根据正弦波的这一特性进行正弦波发生。

在Altera DSP Builder库中,有一名为Increment Decrement的模块,根据参数设置的不同,Increment Decrement会不断从0计数到设定值,然后清0,接着又从0开始计数。图3-1显示的是Increment Decrement 的参数设置界面,以图中参数为例,number of bits设置为6,即从0开始计数到2^6,然后清0,接着又从0开始计数。

在LUT(Look Up Table)查找表模块中事先存入一个周期的正弦波的抽样值,利用Increment Decrement 模块不断计数,根据计数值找到查找表的地址取出里面的值进行输出,因为Increment Decrement模块的输出具有周期性,则从LUT的输出也具有了周期性,这样,就产生了正弦波。

四、实验步骤:

(1)打开MATLAB。

(2)从MATLAB界面打开点simulink,从跳出的Simulink Library Browser界面新建一个model 文件。

图1-1 正弦发生器模型(model文件)

(3)在Altera DSP Builder中的Arithmetic库,找到Increment Decrement模块加入到新建的model中;双击该模块并设置参数,Bus Type:Signed Integer、number of bits:6,确认退出。

(4)在Altera DSP Builder中的Storage库,找到LUT模块加入到新建的model中;双击该模块并设置参数,Data Type:Signed Integer、Address Width:6、number of bits:8、MATLAB Array:127*sin( [0:2*pi/(2^6):2*pi] ),确认退出。

(5)在Altera DSP Builder中的Storage库,找到Delay模块加入到新建的model中。

(6)在Altera DSP Builder中的Arithmetic库,找到Product模块加入到新建的model中,双击该模块并设置参数,Optional ports and settings中的Use LPM选项前打勾,确认退出。

(7)在Altera DSP Builder中的IO&Bus库,找到Input和Output模块加入到新建的model中。双击Input 模块并设置参数,Bus Type:Single Bit,确认退出。双击Output模块并设置参数,Bus Type:Signed Intege、number of bits:8,确认退出。

(8)在Simulink中的Sinks库,找到Scope模块加入到新建的model中。双击Scope模块并设置参数,

点击菜单栏中的,将number of axes 设为2,确认退出。

(9)在Simulink中的Source库,找到Step模块加入到新建的model中。双击Step模块并设置参数,Step time:50、Initial value:50、Final time :1、Sample time :1,确认退出。

(10)在Altera DSP Builder中的AltLab库,找到Signal Compiler和TestBenchOn模块加入到新建的model 中。执行File->Save 保存文件,将其命名为sinwave.mdl。

(11)执行Simulation->Configuration Parameters,将solver设为discrete,Type设为Fixed-step,Stop Time 设为500。

(12)点击菜单栏中的,启动simulation,simulation结束后,双击Scope模块,打开波形观察界面,在波形上点击鼠标右键,选择Autoscale,即可观察simulation后的正弦波形。

(13)点击TestBenchOn模块,打开模块参数界面,在Advanced选项卡中,点选Lauch GUI,并依次点击Generate HDL,Run Simulink和Run Modelsim,启动RTL级仿真。

(14)随后显示的界面即为Modelsim RTL级仿真的波形图。选中tb_sinwave/dut/output信号,点击鼠标右键,选中Propertyties选项,选择Format为analog,将Height设为100,Scale设为0.4,点击【OK】确认。在信号上点击鼠标右键,选择Zoom Full,即可看到RTL级仿真波形。

(15)点击Signal Compiler模块,打开参数设置页面,器件系列选择cylone II,点击compile,结束后,关闭该页面。

(16)关闭MA TLAB。在位置“D:\Program Files\MATLAB71\work\sinwave_dspbuilder”(MATLAB安

装目录下的work\sinwave_dspbuilder)打开文件sinwave.qpf 。

(17)工具栏中点击Assignments,选中Device,在器件family中选择Cyclone Ⅱ,选择下拉菜单中的EP2C35F672C6.,点击finish。

(18)执行Assignmets→Assignment Editor,将Category设为Pin,并按照下图对Pin进行设置并保存

图1-2 管脚设置

(19)执行Tools→SignalTap Ⅱ Logic Analyzer,选中Data,在Data窗口中的空白处双击,在弹出的对话框中将Fiter设为Pins: all®isters:post fittings,点击List,将Output添加至右边

的窗口中,点击【OK】确认。

(20)在右边的对话框中将Clock设为Clock信号,Sample depth设为1K,点选Trigger in,Source设为Clock信号,Pattern设为Rising edge。保存,若弹出对话框询问是否将文件添加至工程,选择Yes。点击菜

单栏中的(紫色三角形),重新对工程进行编译。

(21)打开实验箱,接入电源,用USB Blaster线将电脑和实验箱连接起来,选择菜单栏中的图标。

(22)点击Hardware Setup,选择USB-0,点击【Close】确认。选中sinwave.sof文件,点击Start,将文件下载到实验板上。

(23)执行Tools→SignalTap ⅡLogic Analyzer,选择Hardware右边的Setup,将其设为USB-Blaster[USB-0]。

(24)将实验箱上的开关SW[3]和SW[4]拨至高电平,点击工具栏的(红色图标)图标,启动数据采集,一段时间(约10s)后点击图标停止数据采集,点击SignalTap中的data窗口,右键点击Output,选择Bus Display Format→Signed Line Chart,即可看到硬件实现的波形。如图6所示:

五、实验结果:

(1)Simulink仿真波形:

(2)RTL级仿真波形

(3)硬件实现波形

六、讨论分析:

(1)实验中遇到的问题和解决方法。

在写入实验板之后,按下运行出现很多错误,原因在于点击Hardware Setup,选择USB-0,点击【Close】确认。随后也由于遗漏执行Tools SignalTap Ⅱ Logic Analyzer,选择Hardware右边的Setup,将其设为USB-Blaster[USB-0]而出错。本次实验难度不算大,但做起来的时候要细心,具体参数的设置,图形的选择,有没有遗漏步骤等都要很细心。

(2)总结DSP Builder开发数字信号处理实验的基本流程(文字叙述或者画出流程图)。

1,使用Matlab的simulink功能选择元件,建立模型并仿真

2,生成HDL语句,并使用Modelsim模拟硬件仿真,显示RTL级仿真的波形图

3,使用Quartus II打开模型文件,编译文件,设置管脚,仿真,将编译后的文件下载到实验板上,采集数据就

可以看到硬件实现的波形.

实验二 AM 系统

一、实验仪器:

PC 机一台,JQ-NIOS-2C35实验箱一台及辅助软件(DSP Builder 、Matlab/Simulink 、Quartus II 、Modelsim ) 二、实验目的:

1、初步了解JQ-NIOS-2C35实验箱的基本结构。

2、学习和熟悉基于DSP Builder 开发数字信号处理实验的流程。

3、理解AM 系统设计的原理和方法。 三、实验原理:

在常规双边带调幅中,输出已调制的信号的包络与输入调制信号成正比,其时间波形可表达为:

)cos()]([)(0c c AM t t m A t S θω++= (4-11) 式中,0A 为外加的直流分量。)(t m 为调制信号,它可以是确知信号,也可以是随机信号,但通常认为平均值为0。c ω为载波信号的频率。c θ为载波信号的起始相位。

对于幅度调制信号,在波形上,它的幅度随基带信号规律而变化。 由于频谱的搬移是线性的,因此

幅度调制通常又称为线性调制。 四、实验步骤:

(1)打开MA TLAB 。 (2)新建model 文件

如图2-1,添加的模型和设置参数如表2-1。

图 2-1 DDS 连接图 表 2-1 DDS 参数设置

位置

名称

参数设置

重置名称

Altera DSP Builder Blockset → IO&Bus

Altbus

Bus Type :Signed Integer ; number of Bits :16

Altera DSP Builder Blockset → Arithmetic Pipelined Adder

Bus Type :Signed Integer ; [number of bits].[]:16; Number of Pipeline Stages :2;

Pipelined Adder3

Altera DSP Builder Blockset → Storage

Delay

Altera DSP Builder Blockset → IO&Bus Bus conversion

Bus Type :Signed Integer ; Input :16; Output :8

Input Bit Connected to Output LSB :8

Altera DSP Builder Blockset

Storage LUT Data Type:Signed Integer;

number of bits:8;

Address Width:8;

MA TLAB Array:

127*sin([0:2*pi/(2^8):2*pi])

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:100;

Bus Type:Signed Integer;

number of bits:8

(3)将图2-1的所有模块选中,单击鼠标右键,点击Creat Subsystem,点击子系统下文本,将其重命名为“DDS”。

(4)复制粘贴DDS,得到“DDS1”。双击DDS1,并按照表格2-2调整参数值。

表2-2

模型名称参数设置

AltBus number of Bits:32;

Constant Constant Value:88234567;number of Bits:32;

Bus conversion Input:32;

output:10;

Input Bit Connected to Output LSB:22

LUT Data Type:Signed Integer;number of bits:10;

MA TLAB Array:511*sin([0:2*pi/(2^10):2*pi])

(5)Mux子系统如图2-2所示,添加的模型和设置参数表2-3所示。

图2-2 Mux子系统连接图

表2-3 Mux子系统参数设置

位置名称参数设置重置名称

Altera DSP Builder Blockset → IO&Bus Input Bus Type:Unsigned Integer;

[number of bits].[]:3;

勾选Specify Clock;

Clock:Clock;

Altera DSP Builder Blockset → Gate&Control

Multiple

xer

Number of Input Data Lines:8;

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:0.89844

Bus Type:Signed Fractional

[number of bits].[]:2

[].[number of bits]:8

Constant5

Bus Type:Signed Fractional [number of bits].[]:2 [].[number of bits]:8

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:0.69922

Bus Type:Signed Fractional

[number of bits].[]:2

[].[number of bits]:8

Constant7

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:0.59766

Bus Type:Signed Fractional

[number of bits].[]:2

[].[number of bits]:8

Constant8

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:0.5

Bus Type:Signed Fractional

[number of bits].[]:2

[].[number of bits]:8

Constant9

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:0.39844

Bus Type:Signed Fractional

[number of bits].[]:2

[].[number of bits]:8

Constant10

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:0.19824

Bus Type:Signed Fractional

[number of bits].[]:2

[].[number of bits]:8

Constant11

Altera DSP Builder Blockset → IO&Bus Constant Constant Value:0.097656

Bus Type:Signed Fractional

[number of bits].[]:2

[].[number of bits]:8

Constant12

(6)将图2-2的所有模块选中,单击鼠标右键,点击Creat Subsystem,点击子系统下文本,将其重命名为“Mux”。

(7)继续设计AM系统其他部分,最终的AM系统如图2-3所示,继续添加的模型和设置参数表2-4所示。

图2-3 AM系统图

表2-4 AM系统模型及参数设置

位置名称参数设置重置名称Altera DSP Builder Blockset

→ Arithmetic

Product bus type:Inferred

Altera DSP Builder Blockset

→ Arithmetic

Product bus type:Inferred Product1

Altera DSP Builder Blockset → IO&Bus Constant Value:1;

Bus Type:Signed Integer;

number of bits:8;

Constant1

Bus Type:Signed Integer;number of bits:10;

Altera DSP Builder Blockset → IO&Bus Output Bus Type:Signed Integer;

[number of bits].[]:10;

Altera DSP Builder Blockset → Arithmetic

Pipelined

Adder

Bus Type:Signed Integer;

[number of bits].[]:8;

Number of Pipeline Stages:2

Altera DSP Builder Blockset → Arithmetic

Pipelined

Adder

Bus Type:Signed Integer;

[number of bits].[]:10;

Number of Pipeline Stages:

2。

Pipelined

Adder1

Altera DSP Builder Blockset → IO&Bus

Bus

conversion

Bus Type:Signed Integer;

Input:18;

Output:10;

Input Bit Connected to

Output LSB:8。

Bus conversion1

Altera DSP Builder Blockset

→ Rate Change

Clock Simulink Sample Time:2e-8

Altera DSP Builder Blockset → AltLab

Signal Compiler

Altera DSP Builder Blockset

→ AltLab

TestBench

Simulink → Sinks Scope

number of axes:3

Simulink → Sources Constant

(8)文件保存为am.mdl。

(9)执行Simulation->Configuration Parameters,将solver设为discrete,Type设为Fixed-step,Tasking mode for periodic sample times设为:Single Tasking,Stop Time设为1e-4。

(10)点击菜单栏中的,启动simulation,simulation结束后,双击Scope模块,打开波形观察界面,在波形上点击鼠标右键,选择Autoscale,即可观察simulation后的幅度调制的仿真波形。

(10)点击Signal Compiler模块,打开参数设置页面,将器件family设置成Cylone II,点击compile,结束后,关闭该页面。

(11)点击TestBenchOn模块,打开模块参数界面,在Advanced选项卡中,点选Lauch GUI,并依次点击Generate HDL,Run Simulink和Run Modelsim,启动RTL级仿真。

(12)随后显示的界面即为Modelsim RTL级仿真的波形图。点击wave-default中的unlock按钮选中tb_am/dut/output信号,点击鼠标右键,选中Propertyties选项,选择Format的Analog,将Height设为100,Scale 设为0.2,点击【OK】确认。

(13)在信号上点击鼠标右键,选择Zoom Full,即可看到RTL级仿真波形。

(14)关闭MA TLAB。在位置“D:\Program Files\MATLAB71\work\am_dspbuilder”(MATLAB安装目录下的work\am_dspbuilder)打开文件am.qpf。

(15)工具栏中点击Assignments,选中Device,在器件family中选择Cyclone Ⅱ,选择下拉菜单中的EP2C35F672C6.,点击finish。

(16)执行Assignmets->Assignment Editor,将Category设为Pin,并按照下图对Pin进行设置并保存

图2-5 管脚分配

(17)执行Tools->SignalTap Ⅱ Logic Analyzer,在Data窗口中的空白处双击,在弹出的对话框中将Fiter 设为all®isters:post fittings,点击List,将Output添加至右边的窗口中,点击【OK】确认。

(18)在右边的对话框中将Clock设为Clock信号;点选Trigger in,Source设为Clock信号,Pattern设为Rising edge;Sample depth设置为2K。保存该文件,若弹出对话框询问是否将文件添加至工程,选择Yes。点击菜单栏中的,重新对工程进行编程。

(19)打开实验箱,接入电源,用USB Blaster线将电脑和实验箱连接起来,选择菜单栏中的图标,(20)点击Hardware Setup,选择USB-0,点击【OK】确认。选中AM.sof文件,点击Start,将文件下载到实验板上。在SignalTap ⅡLogic Analyzer文件(.stp)中的右上角的Hardware的Setup下添加USB-Blaster[USB-0]。

(21)将实验箱上的开关SW[0]拨至高电平,SW[3]、SW[2]、SW[1]分别拨至0、0、0,点击开

始运行工程,10s后,点击结束运行。SignalTap中的data窗口,右键点击Output,选择Bus Display Format Signed Line Chart,即可看到硬件实现的波形。再依次将SW[3]、SW[2]、SW[1]分别拨至001~111,以同样的方法即可观察到另外几种幅度的AM输出调制波。

五、实验结果:

(1)Simulink仿真波形:

(2)RTL级仿真波形

(3)硬件实现波形((0, 0, 0)~ (1, 1, 1))

(SW[3], SW[2], SW[1])=(0,0,0)

(SW[3], SW[2], SW[1])=(0,0,1)

(SW[3], SW[2], SW[1])=(1,1,1)

六、讨论分析:

(1)实验中遇到的问题和解决方法。

实验从十六步之后就一直出错,检查了很久参数和其他的一些设置,都没有错,直到从头做一遍才发现在选中Device,在器件family中选择Cyclone Ⅱ,选择下拉菜单中的EP2C35F672C6这一步选错了器件而导致了结果不一致。

(2)实验中用到的一个重要的元件叫做查找表(LUT),那么什么是LUT?FPGA芯片是如何通过查找表实现其功能的?

LUT是可编程的最小逻辑构成单元,大部分FPGA采用基于SRAM的查找表逻辑形成结构,就是用SRAM来构成逻辑函数发生器,一个n输入的LUT可以实现n个输入变量的任何逻辑功能.

实验三 DDS 系统

一、实验仪器:

PC 机一台,JQ-NIOS-2C35实验箱一台及辅助软件(DSP Builder 、Matlab/Simulink 、Quartus II 、Modelsim )

二、实验目的:

1、初步了解JQ-NIOS-2C35实验箱的基本结构。

2、学习和熟悉基于DSP Builder 开发数字信号处理实验的流程。

3、理解DDS 设计的原理和方法。

三、实验原理:

对于正弦信号发生器,它的输出可以用下式来描述:

)t 2(Asin t Asin S out out pf ==ω (1-1)

其中out S 是指该信号发生器的输出波形,out f 指输出信号对应的频率。上式的表述对于t 是连续的,为了用数字逻辑实现该表达式,必须进行离散化处理。用基准时钟clk 进行抽样,令正弦信号的相位:

t pf out 2=θ (1-2)

在一个clk 周期内,相位θ的变化量为:

clk

f

out f p clk

T out f

p ?=??=?22θ (1-3) 其中clk f 指clk 的频率,对于p 2,可以理解成“满”相位。为了对θ?进行数字量化,把p 2切割成N 2份,由此,每个clk 周期的相位增量θ?可用量化值θ?B 来表示为:

N

2p

2B ??≈?θθ

(1-4) 且θ?B 为整数。与(1-3)式联立,可得:

,clk out f f =?N

2

B θclk out f f ?=?N

2B θ (1-5) 显然,信号发生器的输出可描述为:

()()??

?

???+?=?+=?-θθθθB B p K N 122sin A Asin S 1-k out

(1-6) 其中,指前一个周期的相位值clk ,同样可以得出:

N 1

-K 2p

2B 1-K ?≈

θθ

(1-7)

由上面的推导可以看出,只要对相位的量化值进行简单的累加运算,就可以得到正弦信号的当前相位值,而用于累加的相位增量量化值θ?B 决定了信号的输出频率out f ,并呈现简单的线性关系。直接数字合成器DDS 就是根据上述原理而设计的数字控制频率合成器。

图4-1所示是一个基本的DDS 结构,主要由相位累加器、相位调制器、正弦ROM 查找表和D/A 构成。途中的相位累加器、相位调制器、正弦ROM 查找表是DDS 结构中的数字部分,由于具有数控频率合成的功能,又合成为NCO (Numerically Controlled Oscillators )。

图4-1 基本DDS 结构

相位累加器是整个DDS 的核心,在这里完成上文原理推导中的相位累计功能。相位累加

器的输入是相位增量θ?B ,又由于θ?B 与输出频率out f

是简单的线性关系:clk out N 2B f f ?=?θ,故相位累加器的输入又可称为频率字输入,事实上,当系统基准时钟clk f 是N 2时,θ?B 就等

于out f 频率输入在图4-1中经过了一组同步寄存器,使得当频率字改变时不会干扰相位累加器的正常工作。

相位调制器接受相位累加器的相位输出,在这里加上一个相位偏移值,主要用于信号的相位调制,如PSK (相移键控)等。在不使用时可以去掉该部分,或者加一个固定的相位字输入。相位字输入也需要用同步寄存器保持同步。需要注意的是,相位字输入的数据宽度M 与频率字输入N 往往是不相等的,M

(1)打开MA TLAB 。 (2)新建model 文件

如图3-1,添加的模型和设置参数如表3-1。

表 3-1 DDS 模型及参数设置 位置

名称 参数设置 重置名称 Altera DSP Builder Blockset → Rate Change

PLL 不勾选Use Base Clock ,

Period Multiplier :3, Period Divider :10。

Altera DSP Builder Blockset → IO&Bus

Input [number of bits].[]:1;

勾选Specify Clock ; Clock :PLL_clk0;

PhaseWords

Altera DSP Builder Blockset → IO&Bus

Input [number of bits].[]:1;

勾选Specify Clock ; Clock :PLL_clk0;

FreqWords

Altera DSP Builder Blockset → IO&Bus

Output [number of bits].[]:10;

Altera DSP Builder Blockset → Arithmetic

Parallel Adder Subtractor Number of Inputs :2; Freq Adder 24bit Altera DSP Builder Blockset → Arithmetic

Parallel Adder Subtractor Number of Inputs :2; Phase Adder 10bit Altera DSP Builder Blockset → IO&Bus

Altbus number ofBits :24, AltBus24bit Altera DSP Builder Blockset → IO&Bus

Altbus

number ofBits :10,

AltBus10bit

Altera DSP Builder Blockset →IO&Bus Bus conversion Bus Type:Signed Integer,

Input:24,

Output:10,

Input Bit Connected to Output

LSB:14,

Hign Bit to LUT

Altera DSP Builder Blockset →

Rate Change

Clock Simulink Sample Time:2e-8,

Altera DSP Builder Blockset →Storage LUT Address Width:10,

[number of bits].[]:10,

MA TLAB Array:

511*sin([0:2*pi/(2^10):2*pi])

Altera DSP Builder Blockset →Storage LUT Address Width:1,

number of bits:20,

MA TLAB Array:

[2^14 2^16]

LUT1

Altera DSP Builder Blockset →Storage LUT Address Width:1,

number of bits:10,

MA TLAB Array:[0 500]

LUT2

Altera DSP Builder Blockset →

AltLab

Signal Compiler

Altera DSP Builder Blockset →

AltLab

TestBench

Simulink → Sinks Scope

Simulink → Sources Constant Constant value:0。

Simulink → Sources Constant Constant value:0。Constant1

图3-1 DDS模块系统图

(3)执行File->Save 保存文件,将其命名为DDS。

(4)执行Simulation->Configuration Parameters,将solver设为discrete,Type设为Fixed-step,Tasking mode for periodic sample times设为:Single Tasking,Stop Time设为3e-5。

(5)点击菜单栏中的,启动simulation,simulation结束后,双击Scope模块,打开波形观察界面,在波形上点击鼠标右键,选择Autoscale,即可观察simulation后的正弦波形。改变Constant的值可以看到不同相位和频率的波形产生。

(6)点击Signal Compiler模块,打开参数设置页面,将device family设置成Cyclone II,点击compile,结束后,关闭该页面。

(7)点击TestBenchOn模块,打开模块参数界面,在Advanced选项卡中,点选Lauch GUI,并依次点

击Generate HDL,Run Simulink和Run Modelsim,启动RTL级仿真。

(8)随后显示的界面即为Modelsim RTL级仿真的波形图。选中tb_dds/dut/output信号,点击鼠标右键,选中Propertyties选项,选择Format的Analog,将Height设为100,Scale设为0.1,点击【OK】确认。

(9)在信号上点击鼠标右键,选择Zoom Full,即可看到RTL级仿真波形。

(10)关闭MATLAB。在位置“D:\Program Files\MATLAB71\work\DDS_dspbuilder”(MATLAB安装目录下的work\DDS_dspbuilder)打开文件DDS.qpf。

(11)工具栏中点击Assignments,选中Device,在器件family中选择Cyclone Ⅱ,选择下拉菜单中的EP2C35F672C6.,点击finish。

(12)执行Assignmets->Assignment Editor,将Category设为Pin,并按照下图对Pin进行设置并保存。

图3-3 引脚分配图

(13)执行Tools->SignalTap Ⅱ Logic Analyzer,在Data窗口中的空白处双击,在弹出的对话框中将Fiter 设为all®isters:post fittings,点击List,将Output添加至右边的窗口中,点击【OK】确认。

(14)在右边signal configuration中将Clock设为Clock信号;Sample depth设置为1K;钩选Trigger in,Source设为Clock信号,Pattern设为Rising edge;。保存该文件,若弹出对话框询问是否将文件添加至工程,

选择Yes。点击菜单栏中的,重新对工程进行编程。

(15)打开实验箱,接入电源,用USB Blaster线将电脑和实验箱连接起来,选择菜单栏中的图标,(16)点击Hardware Setup,选择USB-0,点击【OK】确认。选中DDS.sof文件,点击Start,将文件下载到实验板上。在SignalTap ⅡLogic Analyzer文件(.stp)中的右上角的Hardware的Setup下添加USB-Blaster[USB-0]。

(17)将实验箱上的开关SW[0]拨至高电平,SW[1]、SW[2]分别拨至低电平和高电平。点击开

始运行工程,10s后,点击结束运行。SignalTap中的data窗口,右键点击Output,选择Bus Display Format Signed Line Chart,即可看到硬件实现的波形。

五、实验结果:

(1)Simulink仿真波形:

(2)RTL级仿真波形

(3)硬件实现波形

六、讨论分析:

(1)实验中遇到的问题和解决方法。

在右边signal configuration中将Clock设为Clock信号;Sample depth设置为1K在这一步时由于滑动了鼠标滚轮使得1K变成128K,然后得出的图形也就出现了较大的偏差。

(2)FPGA中PLL资源有什么优点(相对于外接时钟输入和计数器)?在使用PLL资源的过程中应该注意些什么?

FPGA中PLL资源相对于外接时钟输入和计数器的优点是倍频分频相位可调.

虽然在结构和功能上看起来很简单,但PLL充满了各种隐含的复杂性,这些复杂性有可能给哪怕是最好的设计师带来麻烦。当今ASIC工艺中PLL的设计正变得越来越困难,原因是内核薄氧化物器件的阈值之上所留的电源电压峰值储备较为有限。这些器件往往被要求满足目标工作频率并保持电源电压的灵活性。但是,电源电压峰值储备的减少将会对PLL的噪声特性产生不良影响。

实验四 FSK 调制系统

一、实验仪器:

PC 机一台,JQ-NIOS-2C35实验箱一台及辅助软件(DSP Builder 、Matlab/Simulink 、Quartus II 、Modelsim )

二、实验目的:

1、初步了解JQ-NIOS-2C35实验箱的基本结构。

2、学习和熟悉基于DSP Builder 开发数字信号处理实验的流程。

3、理解FSK 设计的原理和方法。

三、实验原理:

正弦载波的频率随二进制基带信号在f1和f2两个频率点间变化,则产生二进制移频键控信号(2FSK 信号)。二进制移频键控信号可以看成是两个不同载波的二进制振幅键控信号的叠加。若二进制基带信号的1符号对应于载波频率1f ,0符号对应于载波频率2f ,则二进制移频键控信号的时域表达式为:

)cos(])([)cos(])([)(210n n

s n n n

s n t nT t g a t nT t g a t e θωω+-+Φ+-=∑∑ (4-13)

二进制数字频率调制(2FSK )是利用二进制数字基带信号控制载波进行频谱变换的过程。在发生端,产生不同频率的载波振荡来传输数字信息“1”或“0”,在接收端,把不同频率的载波振荡还原成相应的数字基带信号。相邻两个振荡波形的相位可能是连续的,也可能是不连续的。

FSK 调制的方法:

1、直接调频法。用数字基带矩形脉冲控制一个振荡器的某些参数,直接改变振荡频率,输出不同频率的信号。

2、频率键控法。用数字矩形脉冲控制电子开关在两个振荡器之间进行转换,从而输出不同频率的信号。

四、实验步骤:

(1)打开MA TLAB 。

(2)新建model 文件→。

(3)在打开的model 文件中新建子系统DDS ,如图4-1,添加的模型和设置参数如表4-1。

表4-1 DDS 模型和设置参数

位置 名称 参数设置

重置名称

Altera DSP Builder Blockset → IO&Bus

Altbus number of Bits :26, AltBus26bit Altera DSP Builder Blockset → IO&Bus

Altbus number of Bits :10, AltBus10bit Altera DSP Builder Blockset → IO&Bus Bus conversion Input :26, Output :10,

Input Bit Connected to Output LSB :16,

Altera DSP Builder Blockset → Storage LUT Address Width :10, [number of bits].[]:10,

MA TLAB Array :

511*sin([0:2*pi/(2^10):2*pi])

Altera DSP Builder Blockset → Arithmetic Parallel Adder Subtractor

Number of Inputs :2 Freq Adder 26bit

Altera DSP Builder Blockset → Arithmetic Parallel Adder Subtractor

Number of Inputs :2

Phase Adder 10bit

图4-1 DDS 子系统设计

(4)选中图4-1中的所有模块,单击鼠标右键,点击Creat Subsystem ,点击子系统下文本,将其重命名为“DDS”。

(5)继续添加模块,如图4-2,添加的模型和设置参数如表4-2。

图4-2 FSK 系统总体图 表4-2 FSK 剩余模型及参数设置 位置

名称 参数设置 重置名称 Altera DSP Builder Blockset → IO&Bus

Altbus Bus Type :Signed Integer ,

number of Bits :24,

Altera DSP Builder Blockset → IO&Bus

Altbus Bus Type :Signed Integer ,

number of Bits :16,

Altbus1 Altera DSP Builder Blockset → Storage

LUT Address Width :1,

[number of bits].[]:24, MA TLAB Array : [2^20 2^19]

LUT1

Altera DSP Builder Blockset → Storage

LUT Address Width :1,

[number of bits].[]:10, MA TLAB Array : [0 800]

LUT2

Altera DSP Builder Blockset → Storage

LUT Address Width :8,

[number of bits].[]:16, MA TLAB Array : random('unid',1,[1 64])

LUT3

DSP实验报告

实验0 实验设备安装才CCS调试环境 实验目的: 按照实验讲义操作步骤,打开CCS软件,熟悉软件工作环境,了解整个工作环境内容,有助于提高以后实验的操作性和正确性。 实验步骤: 以演示实验一为例: 1.使用配送的并口电缆线连接好计算机并口与实验箱并口,打开实验箱电源; 2.启动CCS,点击主菜单“Project->Open”在目录“C5000QuickStart\sinewave\”下打开工程文件sinewave.pjt,然后点击主菜单“Project->Build”编译,然后点击主菜单“File->Load Program”装载debug目录下的程序sinewave.out; 3.打开源文件exer3.asm,在注释行“set breakpoint in CCS !!!”语句的NOP处单击右键弹出菜单,选择“Toggle breakpoint”加入红色的断点,如下图所示; 4.点击主菜单“View->Graph->Time/Frequency…”,屏幕会出现图形窗口设置对话框 5.双击Start Address,将其改为y0;双击Acquisition Buffer Size,将其改为1; DSP Data Type设置成16-bit signed integer,如下图所示; 6.点击主菜单“Windows->Tile Horizontally”,排列好窗口,便于观察 7.点击主菜单“Debug->Animate”或按F12键动画运行程序,即可观察到实验结果: 心得体会: 通过对演示实验的练习,让自己更进一步对CCS软件的运行环境、编译过程、装载过程、属性设置、动画演示、实验结果的观察有一个醒目的了解和熟悉的操作方法。熟悉了DSP实验箱基本模块。让我对DSP课程产生了浓厚的学习兴趣,课程学习和实验操作结合为一体的学习体系,使我更好的领悟到DSP课程的实用性和趣味性。

DSP实验报告-深圳大学-自动化

深圳大学实验报告课程名称:DSP系统设计 实验项目名称:DSP系统设计实验 学院:机电与控制工程学院 专业:自动化 指导教师:杜建铭 报告人1:. 学号:。班级:3 报告人2:. 学号:。班级:3 报告人3:. 学号:。班级:3 实验时间: 实验报告提交时间: 教务处制

实验一、CCS入门试验 一、实验目的 1. 熟悉CCS集成开发环境,掌握工程的生成方法; 2. 熟悉SEED-DEC2812实验环境; 3. 掌握CCS集成开发环境的调试方法。 二、实验仪器 1.TMS320系列SEED-DTK教学试验箱24套 2. 台式PC机24台 三、实验内容 1.仿真器驱动的安装和配置 2. DSP 源文件的建立; 3. DSP程序工程文件的建立; 4. 学习使用CCS集成开发工具的调试工具。 四、实验准备: 1.将DSP仿真器与计算机连接好; 2.将DSP仿真器的JTAG插头与SEED-DEC2812单元的J1相连接; 3.启动计算机,当计算机启动后,打开SEED-DTK2812的电 源。SEED-DTK_MBoard单元的+5V,+3.3V,+15V,-15V的电源指示灯及SEED-DEC2812的电源指示灯D2是否均亮;若有不亮,请断开电源,检查电源。 五、实验步骤 (一)创建源文件 1.进入CCS环境。

2.打开CCS选择File →New →Source File命令 3.编写源代码并保存 4.保存源程序名为math.c,选择File →Save 5.创建其他源程序(如.cmd)可重复上述步骤。 (二)创建工程文件 1.打开CCS,点击Project-->New,创建一个新工程,其中工程名及路径可任意指定弹 出对话框: 2.在Project中填入工程名,Location中输入工程路径;其余按照默认选项,点击完成 即可完成工程创建; 3.点击Project选择add files to project,添加工程所需文件;

DSP实验报告

DSP实验报告 软件实验 1无限冲激响应滤波器(IIR) 算法 一.实验目的 1 .掌握设计IIR 数字滤波器的原理和方法。 2 .熟悉IIR 数字滤波器特性。 3 .了解IIR 数字滤波器的设计方法。 二.实验设备 PC 兼容机一台,操作系统为Windows2000( 或Windows98 ,WindowsXP ,以下默认为Windows2000) ,安装Code Composer Studio 2.21 软件。 三.实验原理 1 .无限冲激响应数字滤波器的基础理论。 2 .模拟滤波器原理(巴特沃斯滤波器、切比雪夫滤波器、椭圆滤波器、贝塞尔滤波器)。 3 .数字滤波器系数的确定方法。 4 .根据要求设计低通IIR 滤波器: 要求:低通巴特沃斯滤波器在其通带边缘1kHz 处的增益为-3dB ,12kHz 处的阻带衰减为30dB ,采样频率25kHz 。设计: - 确定待求通带边缘频率fp1Hz 、待求阻带边缘频率fs1Hz 和待求阻带衰减-20log δsdB 。 模拟边缘频率为:fp1=1000Hz ,fs1=12000Hz 阻带边缘衰减为:-20log δs=30dB - 用Ω= 2πf/fs 把由Hz 表示的待求边缘频率转换成弧度表示的数字频率,得到Ωp1 和Ωs1 。 Ωp1=2 πfp1/fs=2 π1000/25000=0.08 π弧度 Ωs1=2 πfs1/fs=2 π12000/25000=0.96 π弧度 - 计算预扭曲模拟频率以避免双线性变换带来的失真。 由w=2fs tan( Ω/2) 求得wp1 和ws1 ,单位为弧度/ 秒。 wp1=2fs tan( Ωp1/2)=6316.5 弧度/ 秒 ws1=2fs tan( Ωs1/2)=794727.2 弧度/ 秒 - 由已给定的阻带衰减-20log δs 确定阻带边缘增益δs 。

北邮dsp软件实验报告

Matlab仿真实验 实验报告 学院:电子工程学院 专业:电子信息科学与技术 班级: 学号: 姓名:

时间:2015年12月23日 实验一:数字信号的FFT分析 1.实验目的 通过本次试验,应该掌握: (a)用傅里叶变换进行信号分析时基本参数的选择 (b)经过离散时间傅里叶变换和有限长度离散傅里叶变换后信号频谱上的区别,前者DTFT时间域是离散信号,频率域还是连续的,而DFT在两个域中都是离散的。(c)离散傅里叶变化的基本原理、特性,以及经典的快速算法(基2时间抽选法),体会快速算法的效率。 (d)获得一个高密度频谱和高分辨率频谱的概念和方法,建立频率分辨率和时间分辨率的概念,为将来进一步进行时频分析(例如小波)的学习和研究打下基础。(e)建立DFT从整体上可看成是由窄带相邻滤波器组成的滤波器组的概念,此概念的一个典型应用时数字音频压缩中的分析滤波器,例如DVD AC3和MPEG Audio。 2.实验容、要求及结果。 (1)离散信号的频谱分析: 设信号x(n)=0.001*cos(0.45n)+sin(0.3n)-cos(0.302n-) 此信号的0.3谱线相距很近,谱线0.45的幅度很小,请选择合适的序列长度N和窗函数,用DFT分析其频谱,要求得到清楚的三根谱线。 【实验代码】:

k=2000; n=[1:1:k]; x=0.001*cos(0.45*n*pi)+sin(0.3*n*pi)-cos(0.302*n*pi-pi/4); subplot(2,1,1); stem(n,x,'.'); title(‘时域序列'); xlabel('n'); ylabel('x(n)'); xk=fft(x,k); w=2*pi/k*[0:1:k-1]; subplot(2,1,2); stem(w/pi,abs(xk)); axis([0 0.5 0 2]); title('1000点DFT'); xlabel('数字频率'); ylabel('|xk(k)|'); 【实验结果图】:

DSP运行实验报告

DSP运行实验报告 一、实验目的 熟悉CCS软件仿真下,DSP程序的下载和运行;熟悉借助单片机的DSP程序下载和运行; 熟悉借助仿真器的DSP程序下载和运行;熟悉与DSP程序下载运行相关的CCS编程环境。 二、实验原理 CCS软件仿真下,借用计算机的资源仿真DSP的内部结构,可以模拟DSP程序的下载和运行。 如果要让程序在实验板的DSP中运行、调试和仿真,可以用仿真器进行DSP程序下载和运行。初学者也可以不用仿真器来使用这款实验板,只是不能进行程序调试和仿真。 在本实验板的作用中,单片机既是串口下载程序的载体,又是充当DSP 的片外存储器(相对于FLASH),用于固化程序。 三、实验设备、仪器及材料 安装有WINDOWS XP操作系统和CCS3.3的计算机。 四、实验步骤(按照实际操作过程) 1、CCS软件仿真下,DSP程序的下载和运行。 第一步:安装CCS,如果不使用仿真器,CCS 的运行环境要设置成一个模拟仿真器(软仿真)。

第二步:运行CCS,进入CCS 开发环境。 第三步:打开一个工程。 将实验目录下的EXP01目录拷到D:\shiyan下(目录路径不能有中文),用[Project]\[Open]菜单打开工程,在“Project Open”对话框中选 EXP01\CPUtimer\CpuTimer.pjt,选“打开”, 第四步:编译工程。 在[Project]菜单中选“Rebuild All”,生成CpuTimer.out文件。 第五步:装载程序。 用[File]\[Load Program]菜单装载第四步生成CpuTimer.out文件,在当前工程目录中的Debug 文件夹中找到CpuTimer.out文件,选中,鼠标左键单击“打开”。

dsp实验报告

DSP 实验课大作业实验报告 题目:在DSP 上实现线性调频信号的脉冲压缩,动目标显示和动目标检测 (一)实验目的: (1)了解线性调频信号的脉冲压缩、动目标显示和动目标检测的原理,及其DSP 实现的整个流程; (2)掌握C 语言与汇编语言混合编程的基本方法。 (3)使用MATLAB 进行性能仿真,并将DSP 的处理结果与MATLAB 的仿真结果进行比较。 (二)实验内容: 1. MATLAB 仿真 设定信号带宽为B= 62*10,脉宽-6=42.0*10τ,采样频率为62*10Fs =,脉冲重复周期为-4T=2.4*10,用MATLAB 产生16个脉冲的线性调频信号,每个脉冲包含三个目标,速度和距离如下表: 对回波信号进行脉冲压缩,MTI ,MTD 。并且将回波数据和频域脉压系数保存供DSP 使用。 2.DSP 实现 在Visual Dsp 中,经MATLAB 保存的回波数据和脉压系数进行脉压,MTI 和MTD 。 (三)实验原理 1.脉冲压缩原理 在雷达系统中,人们一直希望提高雷达的距离分辨力,而距离分辨力定义为:22c c R B τ?==。其中,τ表示脉冲时宽,B 表示脉冲带宽。从上式中我们可以看

出高的雷达分辨率要求时宽τ小,而要求带宽B大。但是时宽τ越小雷达的平均发射功率就会很小,这样就大大降低了雷达的作用距离。因此雷达作用距离和雷达分辨力这两个重要的指标变得矛盾起来。然而通过脉冲压缩技术就可以解决这个矛盾。脉冲压缩技术能够保持雷达拥有较高平均发射功率的同时获得良好的距离分辨力。 在本实验中,雷达发射波形采用线性调频脉冲信号(LFM),其中频率与时延成正比关系,因此我们就可以将信号通过一个滤波器,该滤波器满足频率与时延成反比关系。那么输入信号的低频分量就会得到一个较大的时延,而输入信号的高频分量就会得到一个较小的时延,中频分量就会按比例获得相应的时延,信号就被压缩成脉冲宽度为1/B的窄脉冲。 从以上原理我们可以看出,通过使用一个与输入信号时延频率特性规律相反的滤波器我们可以实现脉冲压缩,即该滤波器的相频特性与发射信号时共轭匹配的。所以说脉冲压缩滤波器就是一个匹配滤波器。从而我们可以在时域和频域两个方向进行脉冲压缩。 滤波器的输出() h n= y n为输入信号() x n与匹配滤波器的系统函数() *(1) y n x n s N n =--。转换到频域就是--卷积的结果:* ()()*(1) s N n =。因此我们可以将输入信号和系统函数分别转化到频域:Y k X k H k ()()( Y k,然后将结果再转化到时域, h n H k →,进行频域相乘得() ()() x t X k →,()() 就可以得到滤波器输出:()() →。我们可用FFT和IFFT来实现作用域的 Y k y n 转换。原理图如下: 图1.脉冲压缩原理框图 2.MTI原理 动目标显示(MTI)技术是用来抑制各种杂波,来实现检测或者显示运动目标的技术。利用它可以抑制固定目标的信号,显示运动目标的信号。以线性调频

DSP实验报告

DSP实验报告

软件实验 1无限冲激响应滤波器(IIR) 算法 一.实验目的 1 .掌握设计IIR 数字滤波器的原理和方法。 2 .熟悉IIR 数字滤波器特性。 3 .了解IIR 数字滤波器的设计方法。 二.实验设备 PC 兼容机一台,操作系统为Windows2000( 或Windows98 ,WindowsXP ,以下默认为Windows2000) ,安装Code Composer Studio 2.21 软件。 三.实验原理 1 .无限冲激响应数字滤波器的基础理论。 2 .模拟滤波器原理(巴特沃斯滤波器、切比雪夫滤波器、椭圆滤波器、贝塞尔滤波器)。 3 .数字滤波器系数的确定方法。 4 .根据要求设计低通IIR 滤波器: 要求:低通巴特沃斯滤波器在其通带边缘1kHz 处的增益为-3dB ,12kHz 处的阻带衰减为30dB ,采样频率25kHz 。设计: - 确定待求通带边缘频率fp1Hz 、待求阻带边缘频率fs1Hz 和待求阻带衰减-20log δsdB 。 模拟边缘频率为:fp1=1000Hz ,fs1=12000Hz 阻带边缘衰减为:-20log δs=30dB - 用Ω= 2πf/fs 把由Hz 表示的待求边缘频率转换成弧度表示的数字频率,得到Ωp1 和Ωs1 。 Ωp1=2 πfp1/fs=2 π1000/25000=0.08 π弧度 Ωs1=2 πfs1/fs=2 π12000/25000=0.96 π弧度 - 计算预扭曲模拟频率以避免双线性变换带来的失真。 由w=2fs tan( Ω/2) 求得wp1 和ws1 ,单位为弧度/ 秒。 wp1=2fs tan( Ωp1/2)=6316.5 弧度/ 秒 ws1=2fs tan( Ωs1/2)=794727.2 弧度/ 秒 - 由已给定的阻带衰减-20log δs 确定阻带边缘增益δs 。 因为-20log δs=30 ,所以log δs=-30/20 ,δs=0.03162

dsp实验报告5

一、实验原理: 1、无限冲击响数字滤波器的基础理论; 2、模拟滤波器原理(巴特沃斯滤波器、切比雪夫滤波器、贝塞尔滤波器); 3、双线性变换的设计原理。 二、实验内容: 1、复习有关巴特沃斯滤波器设计和用双线性变换法设计IIR数字滤波器的知识; 2、阅读本实验所提供的样例子程序; 3、运行CCS软件,对样例程序进行跟踪,分析结果; 4、填写实验报告。 5、样例程序实验操作说明 1)正确完成计算机、DSP仿真器和实验箱连接后,开关K9拨到右边,即仿真器选择连接右边的CPU:CPU2; 2)“A/D转换单元”的拨码开关设置: JP3 3)检查:计算机、DSP仿真器、实验箱是否正确连接,系统上电; 4)置拨码开关S23的1、2拨到OFF,用示波器分别观测模拟信号源单元的2号孔“信号源1”和“信号源2”输出的模拟信号,分别调节信号波形选择、信号频率、信号输出幅值等旋钮,直至满意,置拨码开关S23的1到ON,两信号混频输出; 三、程序分析: cpu_init(); //CPU初始化 fs = 25000; //设置采样频率为2500HZ nlpass = 0.18; //设置通带上限频率归一化参数为0.18 nlstop = 0.29; //设置阻带下限截止频率归一化参数为0.29 biir2lpdes(fs,nlpass,nlstop,a,b); 根据双线性变换法求滤波器的系数a和b set_int(); //调用低通滤波器子程序对信号进行滤波 中断程序注释: interrupt void int1()

{ in_x[m] = port8002; //读取port8002端口的数值 in_x[m] &= 0x00FF; //取后八位送入X[m] m++; //每取一个数字m加1 intnum = m; if (intnum == Len) //当取到128个字节时,重新读取port8002端口的数值 { intnum = 0; xmean = 0.0; for (i=0; i

DSP实验报告二CCS的使用

实验二 CCS使用操作:报告: 1.实验目的 (1) 熟悉CCS集成开发环境,掌握工程的生成方法。 (2) 掌握CCS集成开发环境的调试方法。 2.实验容及步骤 (1)查阅CCS发展历史,给出CCS发展的版本和适用的芯片。 Code Composer Studio 包含一整套用于开发和调试嵌入式应用的工具。它包含适用于每个TI 器件系列的编译器、源码编辑器、项目构建环境、调试器、描述器、仿真器以及多种其它功能。CCS IDE 提供了单个用户界面,可帮助您完成应用开发流程的每个步骤。借助于精密的高效工具,用户能够利用熟悉的工具和界面快速上手并将功能添加至他们的应用。 版本 4 之前的 CCS 均基于 Eclipse 开放源码软件框架。Eclipse 软件框架可用于多种不同的应用,但是它最初被开发为开放框架以用于创建开发工具。我们之所以选择让 CCS 基于Eclipse ,是因为它为构建软件开发环境提供了出色的软件框架,并且正成为众多嵌入式软件供应商采用的标准框架。CCS 将 Eclipse 软件框架的优点和仪器 (TI) 先进的嵌入式调试功能相结合,为嵌入式开发人员提供了一个引人注目、功能丰富的开发环境。 CCS 有 2 个版本:白金版和微处理器版。各版本支持的处理器不同。支持的核白金版:TMS320C6000、TMS320C5000、TMS320C2800、TMS470、TMS570、ARM 7、ARM9、ARM 11、ARM Cortex M3(不包含 Stellaris Cortex M3)、ARM Cortex R4、ARM Cortex A8 和 MSP430 处理器版:TMS320C2800 和MSP430 CCS 白金版和微处理器版都使用以下各项:主机:PC 操作系统:Microsoft Windows Vista 和 XP (2) 使用CCS时,经常遇到下述扩展名文件,说明分别是什么文件。 ①project. mak :即MAKE文件,VC4及以前版本使用的工程文件,用来指定如何建立一个工程, VC6把MAK文件转换成DSP文件来处理。 ②program. c :定义的变量、数组、函数的声明 ③program. asm :Oracle管理文件(OMF) ④filename. h :H C程序头文件 ⑤filename. lib :LIB 库文件 ⑥project. cmd :CMD Windows NT,OS/2的命令文件;DOS CD/M命令文件;dBASEⅡ程序文件 ⑦program. obj :OBJ 对象文件 ⑧program. Out: C语言输出文件 ⑨project. Wks :WKS Lotus 1-2-3电子表格;Microsoft Works文档 保存配置文件时产生的文件: ①programcfg.cmd 连接器命令文件 ②programcfg.h54 头文件 ③programcfg.s54 汇编源文件 DSP源文件的建立;

DSP硬件实验报告北邮

北京邮电大学DSP硬件实验报告 学院: 电子工程学院 专业: 姓名: 学号: 班级:

实验一常用指令实验 一、实验目的 熟悉DSP开发系统的连接 了解DSP开发系统的组成和结构和应用系统构成 熟悉常用C54X系列指令的用法(程序寻址,寄存器,I/O口,定时器,中断控制)。 二、实验步骤与内容 (一)简单指令程序运行实验 源程序: ;File Name:exp01.asm ;the program is compiled at no autoinitialization mode --程序在非自动初始化模式下编译 .mmregs --(enter memory-mapped registers into the symbol table) --进入记忆映射注册进入符号表 .global _main --(identify one or more global(external)symbols)--定义一个或多个全局变量 _main: stm(累加器的低端存放到存储器映射寄存器中) #3000h,sp(堆栈指针寄存器);堆栈指针的首地址设为#3000h ssbx(状态寄存器位置位)xf ;状态寄存器位置位,灯亮 call (非条件调用,可选择延迟)delay(存储器延时) ;调用delay函数延时 rsbx(状态寄存器复位)xf ;状态寄存器位复位,灯灭 call delay ;调用delay函数延时 b (累加器)_main ;可选择延迟的无条件转移,循环执行 nop(无操作) nop ;delay .5 second delay: ;延迟0.5秒 stm 270fh,ar3 (辅助寄存器3) ;把地址存放到存储器映射寄存器中 loop1: stm 0f9h,ar4 (辅助寄存器4);把地址存放到存储器映射寄存器中 loop2: banz loop2,*ar4- ;AR4不为0时转移,指针地址减一

DSP实验报告

实验一 离散系统的时域分析 一、实验目的 1、掌握离散时间信号的MATLAB 表示; 2、信号运算; 3、差分方程的求解; 4、离散时间信号的卷积运算。 二、实验原理 1、离散时间信号 离散时间信号只在某些离散的瞬时给出函数值,而在其他时刻无定义。它是时间上不连续按一定先后次序排列的一组数的集合,称为时间序列,用x(n)表示,n 取整数代表时间的离散时刻。 在matlab 中用向量来表示一个有限长度的序列。 2、序列的类型 为了分析的方便,在数字信号处理中规定了一些基本的序列。 a) 单位采样序列 function [x,n]=impseq(n1,n2,n0) n=[n1:n2]; x=[(n-n0)==0]; 调用该函数 [x,n]=impseq(-2,8,2); stem(n,x) 0010()001()0n n n n n n n n n δδ =?=? ≠? =?-? ≠?

单位采样序列的另一种生成方法 n0=-2; n=[-10:10]; nc=length(n); x=zeros(1,nc); for i=1:nc if n(i)==n0 x(i)=1 end end stem(n,x) b) 单位阶跃序列 function [x,n]=stepseq(n1,n2,n0) n=[n1:n2]; x=[(n-n0)>=0]; 调用该函数 [x,n]=stepseq(-2,8,2); stem(n,x) 000 10()001()0n n n n n n n n n εε >=?=? =?-?

c) 实数指数序列 x(n)=an (运算符“.^”) n=[0:10]; x=0.9.^n; stem(n,x) d) 复数指数序列 n=[-10:10]; alpha=-0.1+0.3*j; x=exp(alpha*n); real_x=real(x); image_x=imag(x); mag_x=abs(x); phase_x=angle(x); subplot(2,2,1); stem(n,real_x) subplot(2,2,2); stem(n,image_x) subplot(2,2,3); stem(n,mag_x) subplot(2,2,4); stem(n,phase_x) ()()j n x n e αω+=(0.1j0.3)n x(n)e (10n 10) -+= -<<

DSP技术及课程设计实验报告二(精)

东南大学自动化学院 实验报告 课程名称: D SP 原理及C 程序开发 第二次实验 实验名称:基于DSP 系统的实验——指示灯、拨码开关和定时器院(系):自动化专业:自动化 姓名:学号: 实验室:实验组别: 同组人员:实验时间:2012 年 4 月 18日 评定成绩:审阅教师: 第一部分实验:基于DSP 系统的实验——指示灯和拨码开关 一.实验目的 1. 了解ICETEK –F28335-A 评估板在TMS320F28335DSP 外部扩展存储空间上的扩展。 2. 了解ICETEK –F28335-A 评估板上指示灯和拨码开关扩展原理。 3. 学习在C 语言中使用扩展的控制寄存器的方法。 二.实验设备 计算机,ICETEK –F28335-A 实验箱(或ICETEK 仿真器+ICETEK–F28335-A 评估板+相关连线及电源)。 三.实验原理

1.TMS320F28335DSP 的存储器扩展接口 存储器扩展接口是DSP 扩展片外资源的主要接口,它提供了一组控制信号和地址、数据线,可以扩展各类存储器和存储器、寄存器映射的外设。 -ICETEK –F28335-A 评估板在扩展接口上除了扩展了片外SRAM 外,还扩展了指示灯、DIP 开关和D/A 设备。具体扩展地址如下: 0x180004- 0x180005:D/A 转换控制寄存器 0x180001:板上DIP 开关控制寄存器 0x180000:板上指示灯控制寄存器 -与ICETEK –F28335-A 评估板连接的ICETEK-CTR 显示控制模块也使用扩展空间控制主要设备: 208000-208004h :读-键盘扫描值,写-液晶控制寄存器 208002-208002h :液晶辅助控制寄存器 208003-208004h :液晶显示数据寄存器 2.指示灯与拨码开关扩展原理

DSP实验报告重叠保留法和重叠相加法(精)

北京邮电大学 实 学班姓学 日 验报告 MATLAB 实现线性卷积运算院:信息与通信工程学院级:名: ______ 号: 期: 实验名称:用 索引

一、实验原 理 ..................................................................................................................... 3 1、算法产生背景 (3) 2、算法基本思 想 ...........................................................................................................................3 1)重叠相加法 (3) 2)重叠保留 法 ...........................................................................................................................4 二、流程图设计 . ................................................................................................................. 5 1、重叠相加 法 . .............................................................................................................................. 5 2、重叠保留 法 . (6) 三、MATLAB 源代 码 . ........................................................................................................... 7 1、重叠相加源码 ...........................................................................................................................7 2、重叠保留源 码 ...........................................................................................................................8 四、实验结果与分析 ........................................................................................................... 9 ①调用CONV (计 算 . ......................................................................................................................... 9 ②测试重叠相加算法 (9) ③测试重叠保留算 法 .....................................................................................................................9 五、讨论与总结 . ............................................................................................................... 10 1、算法效率分 析: .....................................................................................................................10 A. 重叠相加法 . (10)

DSP实验报告模版

我们做的dsp实验是实验一,实验二,实验三!模板上只有1 3 !2要自己仿照实验一写! 不要弄错了!实验题目在另外一个共享表格里! 实验一数据存储实验 一、实验目的 1. 掌握ccs的使用 2. 掌握 tms320c54x 程序空间的分配; 3. 掌握 tms320c54x 数据空间的分配; 4. 能够熟练运用tms320c54x 数据空间的指令。 二、实验设备 计算机,ccs 3.1版软件,dsp仿真器,e300实验箱,dsp-54xp cpu板。 三、实验步骤与内容 1. 在进行 dsp实验之前,需先连接好仿真器、实验箱及计算机,连接方法如下所示: 2. e300 底板的开关sw4 的第1位置on,其余位置off。其余开关设置为off。 sw5全部置on;其余开关不做设置要求 3. 上电复位 在硬件安装完成后,确认安装正确、各实验部件及电源连接无误后,启动计算机,接通仿真器电源,此时,仿真器上的“红色指示灯”应点亮,否则dsp开发系统与计算机连接存在问题。 4. 运行ccs程序 1) 待计算机启动成功后,实验箱220v电源置“on”,实验箱上电 2) 启动ccs3.1,进入ccs界面后,点击“debug—connect” 3) 此时仿真器上的“绿色指示灯”应点亮,ccs正常启动,表明系统连接正常;否则仿真器的连接、jtag 接口或ccs 相关设置存在问题,这时需掉电检查仿真器的连接、jtag 接口连接是否正确,或检查ccs相关设置是否存在问题。 5. 成功运行ccs 程序后,首先应熟悉ccs的用户界面; 6. 学会在ccs环境下创建工程文件、添加程序文件、编写程序、编译、装载、调试,学习如何使用观察窗口等。 7. 用“project\open”打开“c:\ti5000\myprojects\01_mem\ mem.pjt”. 编译并装载“\ 01_mem\debug\mem.out” 8.用“edit”下拉菜单中的“memory/fill”编辑内存单元,参数设置如下图: 单击“ok”此时以0x1000 为起始地址的16个内存单元被修改成:0x0009 9.用“view”下拉菜单“memory”观察内存单元变化,输入要查看的内存单元地址,本实验要查看0x1000h~0x100fh 单元的数值变化,输入地址0x1000h; 单击“ok”如下图所示: 10. 点击“debug\go main”进入主程序,在程序中“加软件断点1”和“加软件断点2”处 施加软件断点。 11. 单击“debug\run”运行程序,也可以“单步”运行程序;当程序运行到“软件断点1” 处时,查看0x1000h~0x1007h单元的值变化, 12. 再单击“debug\run”,当程序运行到“软件断点2”处时,查看0x1008~0x100f

DSP实验报告一

电子信息工程系实验报告 课程名称:现代DSP技术 成绩: 实验项目名称:I/O实验实验时间: 2011-10-18 指导教师(签名): 班级:电信082 姓名:李江水学号:810706210 实验目的 1、了解I/O口的扩展;掌握I/O口的操作方法; 2、熟悉在C语言中访问IO口的方法 3、了解数字量与模拟量的区别和联系。 实验设备 计算机,CCS 2.0版软件,DSP仿真器,实验箱。 实验原理 1、开关量输入输出单元 采用8位的数字量输入即由八拨码开关来控制LED灯的显示,当拨码开关都是低电平即都为0时,LED 灯全亮,反之则不亮。。 2、CPU初始化 第一步:时钟模式寄存器的初始化clkmd; 第二步:状态寄存器st0、st1的初始化,复位值为0x1800、0x6900; 第三步:处理器工作模式状态寄存器(PMST)的初始化; 第四步:软件等待状态寄存器、软件等待状态控制寄存器的初始化; 第五步:分区转换控制寄存器(BSCR)初始化。 第六步: *(unsigned int*)IMR=0x0;屏蔽所以中断,IMR为中断屏蔽寄存器。 *(unsigned int*)IFR=0xffff;IFR为中断标志寄存器,中断标志清0 实验步骤与结果分析 开关K9拨到右边,即仿真器选择连接右边的CPU:CPU2;启动CCS 2.0,在Project→Open菜单打开exp03_cpu2目录下面的工程文件“exp03.pjt”。用下拉菜单中Project-->Open,打开“exp03_cpu2\ exp03.pjt”,双击“Source”,可查看源程序。 在File→Load Program菜单下加载exp03_cpu2\debug目录下的exp03.out文件。 运行程序,分别调整开关量输入单元的开关K1~K8,观察LED指示灯LED1~LED8亮灭的变化,以及开关量输入和输出状态是否一致。关闭所有窗口,本实验完毕。 分别调整K1到K8的开关,当开关为低电平即为零时,LED灯亮,当开关为高电平即为1时,LED灯不亮。当K1、K3、K5、K7为0时,LED灯的显示如下图1。

DSP实验报告+心得体会

龙岩学院 实验报告 班级07电本(1)班学号2007050344 姓名杨宝辉同组人独立 实验日期2010-5-18 室温大气压成绩 基础实验 一、实验目的 1. 掌握CCS实验环境的使用; 2. 掌握用C语言编写DSP程序的方法。 二、实验设备 1. 一台装有CCS软件的计算机; 2. DSP实验箱的TMS320F2812主控板; 3. DSP硬件仿真器。 三、实验原理 浮点数的表达和计算是进行数字信号处理的基本知识;产生正弦信号是数字信号处理中经常用到的运算;C语言是现代数字信号处理表达的基础语言和通用语言。写实现程序时需要注意两点:(1)浮点数的范围及存储格式;(2)DSP的C语言与ANSI C语言的区别。 四、实验步骤 1.打开CCS 并熟悉其界面; 2.在CCS环境中打开本实验的工程(Example_base.pjt),编译并重建.out 输出文件,然后通过仿真器把执行代码下载到DSP芯片中; 3.把X0 , Y0 和Z0添加到Watch窗口中作为观察对象(选中变量名,单击鼠标右键,在弹出菜单中选择“Add Watch Window”命令); 4.选择view->graph->time/frequency…。设置对话框中的参数: 其中“Start Address”

设为“sin_value”,“Acquisition buffer size”和“Display Data size”都设为“100”,并且把“DSP Data Type”设为“32-bit floating point”, 设置好后观察信号序列的波形(sin函数,如图); 5.单击运行; 6.观察三个变量从初始化到运算结束整个过程中的变化;观察正弦波形从初始化到运算结束整个过程中的变化; 7.修改输入序列的长度或初始值,重复上述过程。 五、实验心得体会 通过本次实验,加深了我对DSP的认识,使我对DSP实验的操作有了更进一步的理解。基本掌握了CCS实验环境的使用,并能够使用C语言进行简单的DSP程序设计。 从软件的安装到使用软件进行程序设计与仿真,锻炼了自己的动手能力,也遇到了不少的坎坷,例如芯片的选择,不能因为麻烦而省略该步骤,否则将会运行出错。

DSP实验报告5

DSP 第五次实验 1.实验目的: (1)进一步熟悉matlab 实验环境和语言。 (2)掌握求序列圆周翻褶的MATLAB 方法。 (3)掌握求序列DFT 及IDFT 矩阵的MATLAB 方法。 (4)掌握用MATLAB 求解用圆周卷积计算线性卷积的时域的方法。 (5)掌握用FFT 计算有限长序列的线性卷积和线性相关的方法。 2.实验内容及总结: 1.圆周翻褶 【例3.27】 已知()[2,3,4,5,6],8X n N ==,求x(n)的8点圆周翻褶序列88(())()x n R n -。 代码: clc;clear all x=[2,3,4,5,6];N=8; x=[x,zeros(1,N-length(x))];nx=0:N-1 y=x(mod(-nx,N)+1); subplot(121),stem([0:N-1],x);title('原序列'); xlabel('n');ylabel('x(n)');grid; subplot(122),stem([0:N-1],y);title('圆周翻褶序列'); xlabel('n');ylabel('x((n))8 R8(n)');grid; 结果:

总结: 对于圆周翻褶 (0),0 ()(())() (),11 N N x n y n x n R n x N n n N = =-=? -≤≤-MA TLAB可用 y=x(mode(-nx,N)+1)求得。因此,要求X(n)=[2,3,4,5,6],N=8的8点圆周翻褶序列,要先将x(n)补零到8点长度再求圆周翻褶。 x=[x,zeros(1,N-length(x))];nx=0:N-1 %x补零到8点长 y=x(mod(-nx,N)+1); %圆周翻褶从一开始,因此得到8点长 %序列,应该再加一 2.DFT矩阵,IDFT矩阵 【例3.29】已知N=4的DFT矩阵w4,求IDFT矩阵w4I。 代码:

DSP实验报告

DSP实验报告 电子111班 刘卓 112099 同组人:张顺 112108

指令实验 一、实验目的 1、了解DSP的结构及引脚功能; 2、掌握DSP的基本指令。 二、实验要求 了解怎样对DSP进行简单的编程操作、运算控制等基本汇编语言及算术语言、实验测试及除错验证。 三、实验步骤 见教材342页。 四、实验结果 1、直观结果 .title "ex1" .mmregs .def _c_int00 DAT0 .SET 60H DAT1 .SET 61H DAT2 .SET 62H DAT3 .SET 63H .text ADD3 .MACRO P1,P2,P3,ADDRP LD P1,A ADD P2,A ADD P3,A STL A,ADDRP .ENDM _c_int00:B start start: LD #004h,DP ;DP=0004,ST0=1804 STM #1000h,SP ;SP=1000 SSBX INTM ;INTM=1 bk0: ST #0012h,DAT0 ;D(0x0260)=0x0012 LD #0023h,A ;A=0000000023 ADD DAT0,A ;A=0000000035,ST0=1004,C=0 NOP NOP bk1: ST #0054h,DAT0 ;D(0x0260)= 0x0054 LD #0002h,A ;A=0000000002 SUB DAT0,A ;A=FFFFFFFFAE NOP NOP bk2: ST #0345h,DAT0 ;D(0x0260)=0x0345 STM #0002h,T ;T=0002

DSP实验报告3

DSP 实验报告3 姓名 学号 时间 2015.6.9 地点 实验大楼A631 实验题目 卷积运算 一、 实验目的 1、学习如何用DSP 的C 语言程序实现卷积与相关的计算; 2、学习用CCS 调试程序的详细过程; 3、学习如何设置断点。 二、 实验内容及步骤 1、创建一个新项目工程 将“maxminmath 文件夹”复制到“D:\CCStudio_v3.3\MyProjects ”下。 2、打开工程调试程序,并说明程序的功能; 3、编程实现以下功能: 已知()()n R n x 4=,()()n R n h 4=,求()()()n h n x n y *= a 、采用子程序调用的方式实现,并且注释程序; b 、把x ,h 和y 添加到Watch 窗口中作为观察对象; c 、观察三个数组从初始化到卷积运算结束整个过程中的变化(可单击变量名前的“+”号把数组展开),并将变化过程记录下来。 三、 实验程序 给出卷积运算的子程序: void main() { int x[7]={0,0,0,1,1,1,1},h[7]={1,1,1,1,0,0,0},y[7]={0}; int i,j; for(i=0;i<7;i++) for(j=0;j<7;j++) {if(i+j<7) y[i]=y[i]+x[i+j]*h[j]; y[i]=y[i]%2;} } 评 阅

四、实验结果 y值的变化情况如下: 五、实验思考题 1、试用汇编语言编写实现卷积运算的程序; .global start ;定义全局变量 .mmregs .data; 开始数据段 .bss m,1; 开设全局变量,每个变量占用一个字 .bss n,1 .bss z,1 .text; 开始文本段 start: ST #1000h,AR1 ST #3000h,AR2 ST #5000h,AR3 ST #0,@m RPT 999 RPT m+ LOOP: MVPD 6000h MVDD *AR1+,*AR4 MVDD *AR2+,*AR5 MACP *AR4,*AR5,src B LOOP ST A,*AR3+ xh: b xh;空循环 .end

相关主题
文本预览
相关文档 最新文档