当前位置:文档之家› 电子系统设计创新与实践实习指导书2015

电子系统设计创新与实践实习指导书2015

电子系统设计创新与实践实习指导书2015
电子系统设计创新与实践实习指导书2015

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电子系统设计题目

《电子系统设计实训》任务书 题A、智能交通灯控制器 一、设计任务 设计制作一个以控制器作为核心的十字路口的智能交通灯控制电路 二、设计要求 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全迅速通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯,经灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停靠到禁行线之外,设计要求如下: ①.用红、绿、黄三色发光二极管作为信号灯,利用传感器或开关模拟检测车辆是否到来,设计制作一个交通灯控制器。 ②.交通灯控制器有两种工作模式,工作模式1为正常定时工作模式,工作模式2为智能工作模式。 ③.在正常定时模式时,主道与支道交替允许车辆通行,主干道每次放行15秒,支干道每次放行10秒;并且每次由亮绿灯转变成亮红灯的转换过程中间,要亮4秒的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外;系统设立显示器显示其所有计时时间。 ④.在正常定时模式时,允许通过键盘对主道、支道的车辆通行时间进行人工修改; ⑤.在正常定时模式时,通过PC机可以方便地进行对主、支干道的通行时间进行修改。系统所有功能,均能够通过上位PC主机对其操作修改与实时动态显示(PC主机端可利用高级语言进行人机界面设计)。 ⑥.在智能工作模式时,主干道处于常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。但每次由亮绿灯转变成亮红灯的转换过程中间,要亮4秒的黄灯作为过渡。 题B、智能温湿度监测报警系统 一、设计任务 设计制作一个利用控制器作为核心的智能温湿度监测报警系统 二、设计要求 由于温湿度的测量是工业和农业生产中重要的一个环节,尤其对于农业发展,比如大棚种植、粮仓管理等等,都必须保证精确的温湿度监控。系统设计要求如下: ①.利用温湿度传感器来检测当前环境中的温湿度信号量,设计制作一个可以监测2个点以上的智能温湿度监控报警系统。 ②.温传感器的精确度达到2℃,湿度传感器的精确度达到±5%。 ③.监测系统可显示当前信息采集的节点号、温度、湿度信息值,并能显示清晰直观当前测量值。 ④.监测系统可以任意设定温度与湿度报警上限值与下限值,当系统测量值超限时报警。(如默认时报警设置温度范围小10℃或大于40℃,湿度范围小于35%或大于60%); ⑤.监测系统可以通过RS232通信接口与PC主机完成数据通信功能,将所采集的各种数据量传输至PC主机端显示(PC主机端可利用高级语言进行人机界面设计,如VB,VC,.NET等)。

现代电子系统设计与实践 复习资料

一、选择题 1、蓝色发光二极管正常工作时,其二端电压大约等于() A、1V B、2V C、3V D、4V 2、二极管由于省电,长寿,鲜艳而常被用来作指示,以下哪个工作电流是合适的?() A、0.5mA B、5mA C、50mA D、500mA 3、三极管在放大状态工作在什么区?() A、截止区 B、放大区 C、非线性区 D、饱和区 4、整流电源中的滤波电容的取值与负载有关,R*C取值?() A、>(2~5)T/2 B、>(2~5)T/2 C、C=1000uF D、随意 5、单晶体管由于其震荡的特有特性常可用于() A、放大特性 B、负阻特性 C、同步控制 D、震荡特性 6、我们经常可以看到,在电子产品中,有黑色的铝材,都是为了(C) A、美观 B、增加重量 C、便于散热 D、便于器件固定 7、运放工作正常的时候,其同相端和反相端的电压是() A、6V B、1/2Vcc C、1/3Vcc D、1/4Vcc 8、差分电路中的射极电阻可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 9、反相器作放大器时,其上的反相电阻可以取() A、100欧 B、1千欧 C、100千欧 D、1兆欧 10、共发射极放大电路中,Uce取多少才合适() A、6V B、1/2 Vcc C、1/3Vcc D、1/4Vcc 11、为了改善组合逻辑电路由于竞争而出现冒险而影响后续电路的正常工作,下面哪项措施是不妥的() A、增加选通门 B、换滤波器 C、选高速器件 D、消除卡诺图中的相切相

12、用CMOS非门制作的晶体振荡器中,没有信号输出,最易疏忽的是() A、忘了换电容 B、震荡电容用了电解电容 C、忘了接反馈电阻 D、忘了接电容 13、设计多输出组合逻辑,既方便又经济的是采用() A、门电路 B、译码器 C、数据选择器 D、CPLD 14、普通的单电压比较器,左转换点,可能出现来回振荡现象,解决的办法是() A、提高比较电压 B、加负反馈 C、加正反馈 D、降低比较电压 15稳压二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 16、高频放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 17、检波二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 18、做实验时常常不小心把电源短路了,但也没发现电源坏了,那是因为() A、电源质量不好 B、有过压保护 C、有输出过载保护 D、运气好 19、OTL放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 20、检波电路的后缀如果输入阻抗不够大,可能会出现() A、惰性失真 B、滤波效果变差 C、限幅失真 D、负锋切割 21、在正交鉴频电路中,为了便于制作正交线圈,和降低成本,实际的正交线圈是一个() A、纯电感 B、晶体 C、并有合适的电容 D、并了个电阻 22、差分电路中的恒流源可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 23、对于MCS-51系列单片机,内部RAM中堆栈指针SP的指针指向()

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

电子系统设计与实践课程设计——多点温度测量(汇编语言+C语言版)

《电子系统设计与实践》 课程设计报告 课程设计题目:多点温度测量系统设计专业班级:2012级电子信息科学与技术 学生姓名:罗滨志(120802010051) 张倩(120802010020) 冯礼哲(120802010001) 吴道林(120802010006) 朱栖安(120802010039)指导老师:刘万松老师 成绩: 2015 年6 月27日 目录

摘要 (4) 1 总体设计 (4) 1.1 功能要求 (5) 1.2 总体方案及工作原理 (5) 2 系统硬件设计 (6) 2.1 器件选择 (6) 2.1.1主要器件的型号 (6) 2.1.2 AT89C51 (7) 2.1.3智能温度传感器DS18B20 (9) 2.1.4晶振电路方案 (9) 2.1.5 LED液晶显示器 (10) 2.1.6复位电路方案 (10) 2.2 硬件原理图 (11) 3 系统软件设计 (11) 3.1基本原理 (11)

3.1.1主程序 (11) 3.1.2读ROM地址程序 (12) 3.1.3显示ROM地址程序 (13) 3.1.4读选中DS18B20温度的程序 (13) 3.1.5显示温度程序 (14) 3.2软件清单 (15) 3.2.1汇编语言程序 (15) 3.2.2 C语言程序 (24) 4实验步骤 (29) 4.1汇编语言程序调试 (29) 4.2 C语言程序调试 (30) 4.3实验仿真 (31) 5设计总结 (32) 6参考文献: (33)

摘要 温度是我们生活中非常重要的物理量。随着科学技术的不断进步与发展,温度测量在工业控制、电子测温计、医疗仪器,家用电器等各种控制系统中广泛应用。温度测量通常可以使用两种方式来实现:一种是用热敏电阻之类的器件,由于感温效应,热敏电阻的阻值能够随温度发生变化,当热敏电阻接入电路时,则流过它的电流或其两端的电压就会随温度发生相应的变化,再将随温度变化的电压或者电流采集过来,进行A/D转换后,发送到单片机进行数据处理,通过显示电路,就可以将被测温度显示出来。这种设计需要用到A/D转换电路,其测温电路比较麻烦。第二种方法是用温度传感器芯片,温度传感器芯片能把温度信号转换成数字信号,直接发送给单片机,转换后通过显示电路显示即可。这种方法电路比较简单,设计方便,现在使用非常广泛。 关键词:多点温度测量单片机温度传感器 1 总体设计 多路温度测量系统的总体结构如图1所示,根据要求,整个系统包含以下几个部分:51单片机、时钟电路、复位电路组成的51单片机小系统;多块测温模块;显示温度值的显示模块和按键模块。测温模块由温度传感器组成,温度传感器采用美国Dallas半导体公司推出的智能温度传感器DS18B20,温度测量范围为-55 -- +125,可编程为9到12位的A/D转换精度,测温分辨率可达0.0625C,完全能够满足系统要求。DS18B20采用单总线结构,只需要一根数据线DQ即可与单片机通信,多个DS18B20可同时连接在一根数据线上与单片机通信。显示器可采用LCD液晶显示器,显示信息量大、效果好、使用方便。

电子系统设计实习报告模板

实习报告 ——电子系统设计 学号:0706110408 班级:电信07-4 姓名:李华君

一.设计内容 基本任务: 1、用一位数码管(DS1)显示自己的学号,大约1秒钟显示1位数字 2、流水灯(循环点亮8个LED)\ 3、通过串口将自己的班级,学号,姓名发送至电脑,用串口调试助手显示。 扩展任务(做完基本任务后,有余力的同学选作,评定成绩加分): 任务一 在ds1302中写入当前时间,然后每个2秒钟通过max232送入计算机显示(年月日时分秒),送出20个时间信息后,蜂鸣器响一声。 任务二 在AT24C02中写入自己的姓名(拼音),学号,并通过串口在电脑显示输出。 任务三 通过ds18b20读入当前温度值,送入数码管显示,显示用三位(DS1,DS2,DS3显示,DS4不焊接),显示温度范围0-99摄氏度,精度0.5摄氏度。 任务四 通过ds18b20读入当前温度值,送入串口显示 二.系统程序代码 1、流水灯: #include #include void delay(unsigned int); unsigned char a; void main() { a=0xfe; P1=a; while(1) { a=_crol_(a,1); delay(500); P1=a; } } void delay(unsigned int z) { unsigned int x,y; for(x=100;x>0;x--) for(y=z;y>0;y--); }

2、数码管: #include sbit dula=P2^7; unsigned char ss,t; unsigned char code table[]={0x3f,0x07,0x3f,0x7d,0x06,0x06,0x3f,0x66,0x3f,0x7f}; void delay(unsigned int); void main() { /*t=0; TMOD=0x01; TH0=(65536-50000)/256; TL0=(65536-50000)%256; EA=1; ET0=1; TR0=1;*/ while(1) { /*if(t==20)*/ for(ss=0;ss<10;ss++) { /*t=0; if(ss==10) ss=0;*/ dula=1; P0=table[ss]; dula=0; delay(500); /*ss++;*/ } } } void delay(unsigned int z) { unsigned int x,y; for(x=z;x>0;x--) for(y=100;y>0;y--); } /*void timer0() interrupt 1 { TH0=(65536-50000)/256; TL0=(65536-50000)%256; t++; }*/ 3、串口: #include

电子系统设计温度控制系统实验报告

电子系统设计实验报告温度控制系统的设计 姓名:杨婷 班级:信息21 学校:西安交通大学

一、问题重述 本次试验采用电桥电路、仪表放大器、AD转化器、单片机、控制通断继电器和烧水杯,实现了温度控制系统的控制,达到的设计要求。 设计制作要求如下: 1、要求能够测量的温度范围是环境温度到100o C。 2、以数字温度表为准,要求测量的温度偏差最大为±1o C。 3、能够对水杯中水温进行控制,控制的温度偏差最大为±2o C,即温度波 动不得超过2o C,测量的精度要高于控制的精度。 4、控制对象为400W的电热杯。 5、执行器件为继电器,通过继电器的通断来进行温度的控制。 6、测温元件为铂热电阻Pt100传感器。 7、设计电路以及使用单片机学习板编程实现这些要求,并能通过键盘置入预期温度,通过LCD显示出当前温度。 二、方案论证 1、关于R/V转化的方案选择 方案一是采用单恒流源或镜像恒流源方式,但是由于恒流源的电路较复杂,且受电路电阻影响较大,使输出电压不稳定。 方案二是采用电桥方式,由电阻变化引起电桥电压差的变化,电路结构简单,且易实现。 2、关于放大器的方案选择 方案一是采用减法器电路,但是会导致放大器的输入电阻对电桥有影响,不利于电路的调节。 方案二是采用仪表放大器电路,由于仪表放大器内部的对称,使电路影响较小,调整放大倍数使温度从0到100度,对应的电压为0-5V。 三、电路的设计 1、电桥电路 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。本次实验中:R1=R2=10KΩ,R3为500Ω的变阻器。

电子系统设计总结报告

电子系统设计总结报告 题目:对讲机 班级:电气 组别:第二组 指导教师: 设计时间:

对讲机 一、引言 1、选题意义 有线对讲机在日常生活中应用广泛。有线对讲机原理简单,设计方便,制作简易,成本低,对于初次进行实验设计的我们来说实验成功率高。而且,有线对讲机广泛应用于医院病员呼叫机、门铃、室内电话等,具有应用范围广,实用性强的特点,所以有线对讲机日益成为生活中不可缺少的部分。为了本次实验的顺利成功,我们首先去了解它的原理过程以及如何正确的去操作它,这样既可以在很大程度上提高我们对知识的掌握与应用,又可以提高我们的动手能力,增强我们对动手实验的兴趣。本次试验,目的既在于提高动手能力,结合理论知识与实际操作于一体,最终设计并制作出具有实用性的产品,又在于磨练个人意志,增强个人耐心,培养团队意识。在产品制作过程中,组内相互分工,互帮互助,协调一致,共同完成此次实验。通过本次实验,大家对于模拟电子技术和数字电子技术会有更好的理解与掌握,也教会大家在遇到问题时如何思考,如何发现问题、解决问题,这些对于今后的学习与研究都是有相当大的帮助的。 2、设计目标 这次实验,我们小组由产品功能出发,设计实验电路图,计算各电子元器件的值,再进行元器件调研来对不同元器件进行比较,最终选择出价格合理,性能完善并且适用于所设计的电路图的元件,再依据所设计的电路图,进行正确焊接与调试,最终得到在50米内,能进行清晰对讲的“半双工对讲机”,即在同一时刻,一方讲话,另一方在距离其50米处可以清晰听到其所讲内容,通过调节转换开关,来进行听与说的角色的相互转换。

3、小组成员分工 二、作品说明 1、功能 对讲机可用于室内电话、医院病员呼叫机、门铃等,可用YUHIHHIH米内进行对讲。本次实验制作成的对讲机为“半双工式对讲机”,即在相同时刻,主机与从机之间只有一个可以讲,而在此时刻,另一个只能听,通过一个双刀双掷开关控制讲话与听话的相互转换。 2、操作说明 操作时,按下电源开关,将控制转换的双刀双掷开关打到一侧,可以完成主机讲话,从机收听主机发送的声音信号;将控制开关打到另一侧,则可以完成从机讲话,主机接收由从机发送的声音信号。通过双刀双掷开关的转换完成主机与从机之间的交流与信息转换。当长时间不使用时,可将控制电源的开关关闭,这样可以节约电能,避免不必要的浪费。

电子系统设计与实践论文范例

东北石油大学课程设计 2009年7 月10 日

东北石油大学课程设计任务书 课程电子系统设计与实践计 题目数字电压表设计 专业计算机科学与技术姓名学号 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1、A/D转换接口电路的设计,负责对ADC0809的控制。 2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD 码。 3、输出七段显示电路的设计,负责将BCD码用7段显示器显示出来。 三、扩展要求 1. 当测量结束后,蜂鸣器鸣响10声。 按照规范写出论文,要求字数在4000字以上,并进行答辩。论文内容包括概述(学习、调研、分析、设计的内容摘要)、EDA技术的现状和发展趋势、对EL教学实验箱和QuartusⅡ软件的掌握程度、数字钟的设计过程(包括原理图或程序设计、编译、仿真分析、硬件测试的全过程),论文中含有原理图、程序、仿真波形图及其分析报告。 完成期限2周 指导教师 专业负责人 年月日

东北石油大学课程设计成绩评价表 指导教师:年月日

摘要 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 本文设计主要利用VHDL语言在EDA平台上设计一个数字电压表,它的显示位数为4位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成了一个整体。。总的程序由几个各具不同功能的部分组成,其中包括循环控制P1、复位和状态转换P2、编码转换data、BCD码加法do、输出七段显示dout。并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。 关键词: EDA(电子设计自动化);VHDL(硬件描述语言);ADC0809;数字电压表。

电子系统设计与实践报告材料

《电子系统设计与实践报告》 院系电气与信息工程学院 专业班级电气151班 学生学号 学生 指导教师叔元 完成日期2018年06月28日

目录 第一章设计目的与任务 (3) 1.1 设计目的 (3) 1.2 设计项目要求 (3) 第一章知识点总结 (3) 2.1 搭建开发环境 (3) 2.2 linux操作系统的常规使用 (4) 2.3 windows 与Linux的文件共享 (5) 2.4 硬件控制 (5) 2.5 写代码控制驱动对应的硬件 (6) 2.6 人机交互 (7) 2.7音视频的播放 (9) 2.8音视频的播放 (9) 2.9 U盘下载 (9) 第3章智能家居的具体实现 (10) 3.1智能家居整体设计图 (10) 3.2智能家居C语言程序 (10) 第四章心得体会 (18)

第一章设计目的与任务 1.1设计目的 1掌握Linux系统的常规使用。 2掌握主控板的常规使用。 3掌握使用linnux系统编程代码控制主控板显示屏。 4对所学知识进行项目的验收检测。 1.2设计项目要求 智能家居系统通过家庭网络,让系统中的各类设备之间相互联动,为我们营造智能化、舒适化、便利化的生活环境。智能家居可以让我们解放双手,提高生活水平。本次实训的要设计缩小版的智能家居,其特点在于操作简单、易于上手、稳定性强,实现实时监控、视频的播放、音乐的播放、图片的显示以及对控制led 灯的开关。所以本周要学习关于嵌入式的基本入门知识,以及相关软件的使用。 第一章知识点总结 2.1 搭建开发环境 步骤: 一:安装虚拟机,直接双击安装程序,不断下一步,最后要求你输入密钥,安装包里面有破解程序 二:解压ubuntu就可以直接使用 虚拟机:用软件来模拟真实的电脑,我们的linux操作系统必须在虚拟机上运行 ubuntu(乌邦图):linux操作系统一个发行版本的名字 使用linux操作系统 登陆的时候千万不要用guest身份登陆,要使用yueqian登陆,密码是123456 linux跟windows的使用习惯有些不同,作为开发人员我们使用命令来控制linux系统打开命令终端:ctrl+alt+t gecubuntu:~$ gec---〉当前用户的名字 ubuntu---〉操作系统的名字 ~ ---〉当前用户的家目录 $ ---〉当前用户是个普通用户 linux中的这些命令统称shell命令,做系统运维的人就是编写程序用这些命令来自动管理服务器 2.2 linux操作系统的常规使用 2.2.1启动Linux系统 启动VMware--》选中Ubuntu12.04---》继续运行虚拟机--》进入桌面系统--》启动命令行 --》双击terminal(ctrl + alt + t)

现代电子系统设计习题解答1

第1章习题解答 1.常用电子元器件有哪些? 答、常用电子元器件有电阻器、电容器、电感器、晶体管、电子管、集成电路等。 2.电阻器是如何分类的?图1.13所示为哪种电阻器?请画出该电阻器的电路符号。 答:电阻器通常分为三类:固定电阻、特殊电阻及可调电阻。 图1.13所示为电位器(可变电阻器)。电路符号如下: 3.电阻器有哪些主要技术指标? 答:电阻器的主要技术指标有额定功率、标称阻值、精度、温度系数、非线性、噪声、极限电压等。 4.电阻器的质量如何判别? 答:电阻器的质量判别可采用以下方法: 1)看电阻器引线有无折断及外壳烧焦现象。 2)用万用表电阻测量阻值,合格的电阻器应稳定在允许的误差范围内,如超出误差范围或阻值不稳定,则不能选用。 3)根据“电阻器质量越好,其噪声电压越小”的原理,使用“电阻噪声测量仪”测量电阻器噪声、判断电阻器质量的好坏。 5.电位器的主要技术指标有哪些? 答:电位器的主要技术指标有标称阻值、额定功率、滑动噪声、分辨力、阻值变化规律等。 6.电位器的质量如何判别? 答:可通过以下两个步骤判别电位器的质量: 1)用万用表电阻档测量电位器两个同定端的电阻,并与标称值核对阻值。如果万用表指针不动或比标称值大的多,表明电位器已坏;如表针跳动,表明电位器内部接触不良。 2)测量电位器的滑动端与固定端的阻值变化情况。移动电位器的滑动端,若阻值从最小到最大之间连续变化,并且最小值越小越好,最大值接近标称值,说明电位器质量较好;如阻值间断或不连续,说明电位器滑动端接触不好,则不能选用。 7.色环电阻器的阻值如何识别?有一个四环碳膜电阻器,色环顺序是红、紫、黄、银。这个电阻器的阻值和误差是多少? 答:阻值为270KΩ,误差为±10%。 8.图1.14为光敏电阻器的结构图,请说出其工作原理。 图1.13 习题2图图1.14 光敏电阻器的结构图答:光敏电阻器是一种对光敏感的元件,其电阻值能随着外界光照强弱(明暗)变化而

电子系统设计实践报告

电子系统设计实践报告

目录 项目一直流可调稳压电源设计··········错误!未定义书签。项目二带通功率放大器设计···········错误!未定义书签。项目三红外遥控器设计·············错误!未定义书签。项目四 EDA工具软件的使用···········错误!未定义书签。项目五用MSI设计逻辑组合电路·········错误!未定义书签。项目六多功能数字钟的设计···········错误!未定义书签。

项目一 直流可调稳压电源设计 一、 设计任务 设计并制作有一定输出电压调节范围的直流稳压电源。 二、 设计要求 1) 输出直流电压(Uo )调节范围6~9V 。(输入电压Ui~13V ) 2) 纹波小于40mV 。(Vpp ) 3) 稳压系数2210v S -≤? 4) 输出电流0~200mA 。 5) 具有过电流保护功能,动作电流200~230mA 。 6) 利用通用板制作电路。 7) 给出电路的Multisim 软件仿真。 三、 基本工作原理与设计要点 简化的可调直流稳压电源原理见下图,电路由电源变压器、整流电路、滤波电路和稳压电路四个部分构成。 稳压电路为较常用的串联型线性稳压电路,它具有结构简单、调节方便、输出电压稳定性强、纹波电压小等优点示。输入电压Ui 为整流滤波电路的输出电压。稳压电路的输出电压为: 由上式可知输出电压与R4的分压呈线性关系,当改变R4抽头位置的大小,则输出电压也将发生变化。 电路中,R1为Q1、Q2、D2提供静态电流;C2为滤波电容,使Q1的基级电位稳定,一般C2去几十uF ;C3为输出滤波电容,以减小纹波输出;Q1是调整管,应具有足够的电流放大倍数和P CM 。 限流型过流保护电路如图示,当Io 较小,U BE2

电子技术课程设计题目

电子技术课程设计 一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。

2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: ●基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理电 路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 ●基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施 密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。

电子系统设计与工程实践报告

课程设计报告 题目电子系统设计 课程名称电子系统设计与工程实践院部名称电子与信息工程学院 专业电子信息工程 班级电子1001班 学生姓名李盖(1010910101) 指导教师张诚

目录 摘要 (3) 1 设计要求 (3) 2 总体设计 (3) 2.1系统组成框图 (3) 2.2 系统工作原理 (3) 3 硬件设计 (4) 3.1方波发生电路设计 (4) 3.2 滤波电路设计 (4) 3.3 放大电路的设计 (4) 3.4 加法器的的设计 (5) 3.5滤波整形电路设计 (5) 3.6功率放大电路设计 (6) 4 调试及结果 (6)

信号处理系统的设计 完成人:李盖(电子1001班) 摘要:随着社会的快速发展信息的传输在社会生活的各个领域占据着重要的地位,因此,信号的处理就占据着重要的地位。这个课题的设计目的是传输1khz 的信号,以20khz 的信号做噪声信号,经过滤波整形和功率放大得到要传输的信号。其中1khz ,20khz 信号由555定时器构成的多谐振荡器产生,1khz 的信号经过由运放构成的低通滤波器滤波之后经过加法器有衰减放大之后的噪声信号相加,再经过滤波整形之后得到要传输的信号,经过单管放大器之后最终得到所需要的信号。作品主要由电源部分、信号发生部分、信号处理部分。我们综合各种因素合理选取了所需要的原件,又经过精心布局和走线最终完成了作品。 1 设计要求 主要完成电源、1khz 和20khz 方波的发生、衰减器、放大器、加法器、低通滤波器、功率放大器、比较器等模块的设计。系统以实现传输1khz 的信号为目的,电源为整个系统供电,1khz ,20khz 信号由555定时器构成的多谐振荡器产生,1khz 的信号经过由运放构成的低通滤波器滤波之后经过加法器模拟产生干扰,与衰减放大之后的噪声信号相加,再经过滤波整形之后得到要传输的信号,经过单管放大器之后最终得到所需要的信号。 2 总体设计 2.1系统组成框图 2.2 系统工作原理 本系统属于多种单个芯片构成的数据处理系统,主要由信号发生、信号处理、信号放大等子系统相互联接组成,具有在信号干扰存在的情况下实现 1KHz 方波发生器20KHz 方 波发生器 1KHz 低通 滤波器10KHz 低 通滤波器衰减器 100mVpp TTL 电平TTL 电平放大器 100mV ~3V A+B 滤波整形电路 功放电路 负载600欧 A B 供电电源 0~3V ,1K 方波 0~9V 方波

电子系统设计专题实验

电子系统设计专题实验报告 ——AVR 单片机基础实验 学 院: 电信学院 班 级: 计算机14 学 号: 2110505092 姓 名: 刘鑫

一、实验目的和要求 本实验课程的主要目的是通过一个新型嵌入式单片机为核心的应用系统设计,掌握微型计算机硬件系统结构基本原理,软件开发编程方法,外围接口电路的组成和应用编程技术,以及电子系统设计的相关技术。通过课程实践训练,能够独立实现一个完整的计算机应用系统设计。 要求基本实验部分学习单片机系统的基本硬件组成原理和软件程序设计方法;综合设计实验要求根据题目需求自行设计系统硬件组成电路,并设计实现完成相应功能的应用程序调试任务。 二、实验设备及开发环境 以AVR ATmega128单片机为核心的实验开发系统。实验开发板采用技术性能优良的AVR ATmega128单片机作为核心器件,还特别设计了USB接口模块、Ethernet网络接口模块,还有MCU对外扩插槽,可为电路扩展模块提供必要的准备。 AVR单片机实验开发系统实验测试环境: 1.软件开发平台: PC机WindowsXP操作系统; AVR Studio 4.16 集成开发软件; WinAVR 20080610 C语言编译器; 2.下载编程工具: JTAG ICE mkII在线仿真器; 3.测试目标板: ATmega128实验开发板; 4.测试程序:用C语言编写电路功能测试程序,在WinAVR(GCC)+ AVR Studio编译下通过。 三、实验设计题目及实现的功能 实验一:单片机实验系统开发环境学习 1. 熟悉实验电路的结构原理、元器件名称、作用及相应的接口连接; 2. 学会使用C编译器编辑、编译、调试简单C源程序; 3. 学会使用AVR Studio集成开发软件下载调试并得到正确结果; 4. 熟悉蜂鸣器电路的编程原理 实验程序源代码: #include // I/O端口寄存器配置文件,必须包含 #include // 延时函数调用文件 int main(void) // GCC中main文件必须为返回整形值的函数,没有 // 参数 { PORTE = 0X80; // PORTE输出高电平,使蜂鸣器不响 DDRE = 0X08; // 配置端口PE3为输出口 while(1) { PORTE &= ~(1 << PE3); //PE3置“0”,但是这种设置方法不改变PE口其余位 //的状态,平时程序中推荐这种使用方法 _delay_ms(100); // 延时100毫秒 _delay_ms(100); _delay_ms(100);

相关主题
文本预览
相关文档 最新文档