当前位置:文档之家› 电子设计自动化实验指导书 EDA课程设计

电子设计自动化实验指导书 EDA课程设计

电子设计自动化实验指导书  EDA课程设计
电子设计自动化实验指导书  EDA课程设计

电子设计自动化实验指导书

江苏师范大学物理与电子工程学院

2013-10-6

目录

第一章CPLDEE-4型实验箱及配套软件简介 (1)

第二章电子设计自动化实验内容 (5)

实验一快速入门—多路选择器的设计与仿真 (5)

实验二4位加法器及7段码显示 (21)

实验三4位数码管动态扫描 (34)

实验四简易数字时钟 (37)

实验五简易十字路口交通灯 (41)

第三章EDA课程设计 (44)

1. 乒乓球比赛游戏机 (44)

2. 十字路口交通管理控制器的设计 (44)

3. 简易4人抢答器 (44)

4. 简易数字时钟 (44)

5. 简易数字频率计 (45)

第一章CPLDEE-4型实验箱及配套软件简介

1.1系统基本特征

●配备:本实验箱配有三家公司(altera低电压1k系列(3万门以上)、lattice的

ispLSI1032E—70LJ84、xilinx的xc95108系列)芯片下载板,适用范围广泛。

●资源:芯片门数最多达到10万门(ACEX1K100),管脚可达208脚。

●编辑方式有图形编辑,文本编辑,波形编辑,混合编辑等方式,硬件描述语言有

AHDL,VHDL,Verilog-HDL等语言。

●主板功能:

?配有模拟可编程器件ispPAC器件系列,突破传统的EDA实验箱一般只做

数字电路实验的模式,用户可以在实验箱上通过我们的模拟可编程器件

进行一些模拟电子电路(运算放大器)的开发训练。

?实验箱配有10个数码管,(包括6个并行扫描数码管和4个串行扫描数码

管)。

?16个数据开关,4个脉冲开关,数据开关和脉冲开关可配合使用,也可单

独使用。

?A/D转换,采用双AD转换,有我们平常所熟悉的8位A/D转换器

ADC0809,还有高位数的12位A/D转换器MAX196。

?D/A转换器,采用学生所熟知的芯片DAC0832.

?通用小键盘,本实验箱提供16个微动开关(4X4),可通他们方便的进行人

机交互。

?单片机扩展槽,由于实验箱上的所有资源(如数码管、数据开关、小键盘

等)都可以借用,因此通过此扩展槽可以开发单片机及单片机接口实验。

?外围扩展口,为了便于开发,本实验箱还预留一个40PIN的扩展槽,用以

与外围电路的联接。

1.2 本实验箱可完成的实验

本实验箱用作数字系统设计实验。

●可完成的基础实验:

?各种传统数字电路实验

?AHDL、VHDL、VERILOG语言描述数据显示译码设计

?语言描述设计加法器,乘法器,计数器,数字钟,分频器,数字频率计

等常用的数字实验

?键盘去抖与译码实验

?状态机设计实验

?A/D、D/A转换

?可编程仪用放大器,通用放大器

?可编程模拟滤波器

●可完成的较复杂实验

?复杂数字电路实验,如八位BCD转换、20位以内乘法器等

?数据采集与显示(可配合MAX196进行12路的A/D采样)

?用内部EAB技术实现各种数学函数运算。

?PC机与CPLD之间的串行及并行通信

?单片机与CPLD之间的异步串行通信

?CPLD与CPLD之间的串、并行通信

?单片机课程的各种实验

?工业控制用微处理器方案其实现。

1.3 利用本实验箱可开发的实验

由于本实验箱设计考虑周全,因此,除了能完成数字系统的实验外,还可以开发使用单片机实验,模拟电子实验,通信原理实验等一系列学科的实验,体现出很强的开发性

?ASK、FSK、PSK调制与解调实验

?通过单片机扩展口与单片机开发机配合,本实验箱中的所有资源都可以被单片机借

用,可以完成单片机课程中复杂的实验。

?可以开发单片机接口实验,利用已开发成功的模块如8255、8155、8279等进行进

行各种单片机接口实验。

?利用四型实验/开发系统可以很方便进行单片机、CPLD及单片机CPLD综合工业

设计。

2 详细的管脚说明

下面详细的介绍有关电路组成:

(1)时钟源

本实验器CPLD芯片由40M晶振提供振荡频率,接与P183管脚,同时还有4M(可分频至1000Hz)接在CPLD的对应管脚P184管。为了方便操作,还为系统提供了约1Hz—1MHz连续可调(调节W3)的时钟信号,接至CPLD的P78脚,通过调节短路夹J1和J2来改变其输出频率值。

(2)输入开关

本实验器中的开关设计新颖独特,有创意,与一般电路中的开关设计不同。本实验器中有16个数据开关(SW1——SW16),4个脉冲开关(KP1——KP4)。在通常状态下数据开关和脉冲开关为低电平。数据开关和脉冲开关可配合使用,也可单独使用。若二者配合使用,在数据开关为低电平时,按下脉冲开关则产生一个高脉冲;在数据开关为高电平时,按下脉冲开关则产生一个低脉冲。

其中16个数据开关与CPLD的管脚的连接情况依次为:SW1-P94,SW2-P95,SW3-P96,SW4-P97,SW5-P99,SW6-P100,SW7-P101,SW8-P102,SW9-P103,SW10-P104,SW11-P111,SW12-P112,SW13-P113,SW14-P114,SW15-P115,SW16-P116。同时与数据开关和CPLD 相应引脚相连的还有16个LED显示管,可以作为输出使用。在作为输出时,不论数据开关和脉冲开关为高电平还是低电平,均不影响其状态。

脉冲开关(KP1——KP4)与CPLD的管脚的连接情况依次为P94,P95,P96, P97。脉冲开关在没有按下时为低电平,按下时则转为高电平,在此压放间会改变其ON/OFF状态,经RS触发器去抖动之后,便可实现在数据开关为高电平时产生一个负脉冲,在数据开关为低电平时产生一个正脉冲。此电路极适合作计数器,暂存器的脉冲输入、分析测试观察用。

(3)数码管显示

本实验器有10个数码管(SEG1——SEG10),采用共阴极8段LED显示。其中SEG1——SEG2采用静态显示方式,SEG3——SEG10采用动态扫描显示方式。

数码管SEG1——SEG10与CPLD的对应管脚接法为:

SEG1(a,b,c,d,e,f,g,p)——P142,P143,P144,P147,P148,P149,P150,P157

SEG2(a,b,c,d,e,f,g,p)——P158,P159,P160,P161,P162,P163,P164,P166

其中SEG1、SEG2的8段LED显示输入端分别与8个LED管相连且同时显示。

SEG3——SEG6的共阴公共端G经反向器分别与CPLD的对应管脚

170,P172,P173,P174相连,由其控制实现各位分时选通,动态扫描。SEG3——SEG6(a,b,c,d,e,f,g,p)的各段与CPLD引脚的对应关系为:P175、P176、P177、P179、P180、P186、P187、P189。

SEG7——SEG10的共阴公共端G经反向器分别与CPLD的对应管脚190,P191,P192,P193相连,由其控制实现各位分时选通,动态扫描。SEG7——SEG10(a,b,c,d,e,f,g,p)的各段与CPLD引脚的对应关系为:P195、P196、P197、P198、P199、P200、P202、P203。

(4)A/D转换

本实验器A/D转换采用双AD转换,有8位A/D转换器ADC0809与12位A/D转换器MAX196。对于ADC0809本实验器只使用了一路模拟量输入IN-1,其余7个模拟量输入端均接到扩展槽COM5。用户可实现最多7路模拟量分时输入。ADD-A,ADD-B,ADD-C可选择地址,分别接到CPLD的对应管脚P36,P37,P38 。START(启动信号)与ALE(地址锁存信号)均接到CPLD的对应管脚P19。时钟CLOCK端接到CPLD的对应管脚P40。EOC(转换结束信号)接到CPLD的对应管脚P39。8位数字量输出端由低(2-8)到高(2-1)分别接到CPLD 的对应管脚P24,P25,P26,P27,P28,P29,P30,P31。对于MAX196,其VDD接外电源VCC(+5V),WR写端接与P25,RD读端接与P24,INT端接与P19,6路输入与ADC0809复用,12位输出(D0—D12)分别接与P26,P27,P28,P29,P30,P31,P36,P37,P38,P39,P40,P41。用户可以随意的使用任意一种。

(5)D/A转换

在主板上在一个D/A转换器,DAC0832,参考电压为VCC(+5V),数字量由CPLD输入到DAC0832的DI0-DI7,与CPLD管脚的对应关系为:P132-DI0,P133-DI1,P134-DI2,P135-DI3,P136-DI4,P139-DI5,P140-DI6,P141-PDI7。模拟量输出由J3(COM2)输出。

(6)单片机扩展槽及外扩槽

在主板上留有一个模拟单片机扩展槽,用于CPLD模拟单片机之用,其与CPLD的接口分别为,P0.0—P0.7(39—32),对应与P44,P45,P46,P47,P53,P54,P55,P56;P1.0—P1.7(1—8),对应与P57,P58,P60,P61,P62,P63,P64,P65;P2.0—P2.7(21—28),对应与P75,P74,P73,P71,P70,P69,P68,P67;P3.0—P3.7(10—17),对应与P83,P85,P86,P87,P88,P89,P90,P92;PSEN脚对应于P93,ALE脚对应与P79;

同时,为了外扩使用,我们在主板上有一个40PIN的扩展槽COM8,其与CPLD对应的管

脚在主板上已标明,此扩展槽可供用户根据自己的需要使用。

第二章电子设计自动化实验内容

实验一快速入门—多路选择器的设计与仿真

一.实验目的

1.学习并掌握QUARTUSⅡCPLD实验开发系统的基本操作。

2.学习在QUARTUSⅡ下设计简单逻辑电路与功能仿真的方法。

二.实验仪器设备

1.PC机一台

2.QUARTUSⅡCPLD软件开发系统一套。

三.实验要求

1.预习教材中的相关内容。

2.阅读并熟悉本次实验的内容。

3.用文本输入方式完成电路设计。

四.实验内容及实验步骤(快速入门)

(可参考课本第四章内容)

步骤1:建文件夹首先在除C盘外的任意一盘内新建一个文件夹,名字要求为英文名,用来放置相应工程的文件,如e:\eda_work.

步骤2:新建一个文件建好文件夹后,启动桌面上的QUARTUSⅡ软件。选菜单“File”-“New”,选择Verilog HDL file,如图1.1所示:

图1.1 新建一个Verilog HDL file

步骤3:输入代码此时进入到文本输入界面,默认的文件名为Verilog1.v, 如图1.2所示。此时就可以在空白处输入verilog代码。

图1.2 输入代码界面

步骤4:保存文件,创建工程代码输入完后,选择菜单“File”-“Save”,或点快捷键保存按钮。出现下面的界面,如图1.3所示。

图1.3保存文件

此步骤一定要注意!一定要放在刚才第上步所建的文件内!然后起文件名。文件的名字要和模块的名字一致!后缀“.v”可以不要。这一步非常重要,不能出错!

保存文件后会弹出一个会话框,如图1.3所示,提示是否以此文件来创建一个工程。

图1.3 创建工程

选“是(Y)”,此时会进入下面的图1.4界面:

图1.4工程wizard的简介

这一步没多大意义,工程wizard的简介,点击“Next”,出现下面图1.5的界面。这一步是检察存放目录及工程名字和模块名字是不是正确,直接点下一步。

图1.5 存放目录及工程名字和模块名字

此时出现图1.6界面,此图告诉我们工程中所加的文件是e:\eda_work\Mux41a.v这个文件,如果忘记加文件或都想继续在此工程中加入其它文件,可以点击图1.6右上侧的…,找到要加的文件,然后点击旁边的add即可。当然如果出现文件加错的话也可以在此步删除掉,只要点击remove按键就可以了。

图1.6 加入或删除文件

点击“Next”出现图1.7的家族和器件的选择。

图1.7家族和器件的选择

这一步很重要!以后的硬件实验这一步都要正确选择。Family选择第一个ACX1K,器件选择EP1K100QC208-3,如图1.8所示。

图1.8 已选择好的家族和器件

Ok, 选择下一步,出现图1.9第三方工具选择的界面,我们用不到第三方工具,直接“Next”。

图1.9第三方工具选择

图1.10为工程Wizard的最后一个界面,就是一个总结吧,可以看看,然后finish就可以了。

图1.10 工程Wizard 总结

步骤5:编译工程文件工程创建完后,可以对工程进行编译。

图1.11 编译

编译完成后可能会有warning或error,如果只出现少量的warning可以不用理会,但如果较多,要引起重视,要仔细看一看是什么警告,如果有问题必须修改。而如果出现error 的话那肯定要改错了。

以此例说明一下如何修改错误,点击确定,如图1.12所示。一般在修改错误时,都要先找到第一个错误。本例的第一个错误提示为:Error (10170): Verilog HDL syntax error at Mux41a.v(6) near text "always"; expecting ";", or ",",意思为在always附近少了一个";", or ","。可以双击此错误,找到错误代码的位置。如图1.13。此时我们可以看到,在代码的第5行后面少了一个分号“;”,加上分号,再重新保存一下,再编译一次!

图1.12 错误提示

图1.13 修改错误

好了,恭喜你,大功告成!点击确定即可。

图1.14 编译成功

步骤6:看综合后的电路编译成功后,可以先看看综合后的电路是什么样子的,你的代码已经转换成电路啦!操作如图1.15所示。综合后的电路如图1.16所示,

可以利用左侧的放大镜来放大或缩小电路,左键为放大,右键为缩小。

图1.15 看综合后的电路的菜单

图1.16 综合后的电路

步骤7:功能仿真此步骤为验证所设计的代码功能的正确性。

1.新建一个波形文件“File”-“New”,选择Other files标签,找到vector

waveform file,如图1.17所示。点击ok

图1.17 新建一个波形文件

波形文件界面如图1.18所示。

图1.18 波形文件界面

2.加入节点“View”-“Utility Windows” -“Nod Finder”,如图1.19所示。节点

finder的界面如图1.20所示,过滤filter选择pins:all,点击右上方的list,然

后就出现图1.21。然后将这些节点拖入到波形文件的左侧,如图1.22。节点

可以一个个拖,也可全部选中,一起拖。

图1.19 加入节点命令

图1.20 选择pins:all

图1.21 节点出现

图1.22 将节点拖入到波形文件的左侧

3.设置仿真时间“Edit”-“End Time”,如图1.23所示。系统默认的仿真时间为1us,此时间过短,我们可以设置为10us, 20us, 30us等等,也不要太长,太长时间会导致仿真时间过长。改好时间后,点击确定。回到图1.22的界面。点击左侧的放大按钮,如图1.25,在波形上点左键或右键可以放大和缩小波形。我们要求点击右键,将波形缩小到不能再缩为止,这时可以看到我们刚才设定的整个时间段。

图1.23 设置时间操作

图1.24 修改时间

图1.25 将波形缩到最小

4.加输入信号缩小完小波形后,先选中a信号,点击左侧的时钟信号,如图1.26。此时出现图1.27界面。从此界面可以看到仿真的起始和结束时间为0-20us,周期默认为10ns,占空比为50%,此处我们不改,在设置b信号时,这里的周期要修改,以和a信号相区别。点击OK,此时a信号已加好,如图1.28所示,可以放大看看,但在加b信号前还要象刚才一样把波形缩小。

图1.26-1.27 加信号

图1.28 加好的a信号

同样的方法,加b,c,d的信号,所不同的是,在图1.27中的周期要改变,4个信号要能明显地区分开来。如图1.29所示。

图1.29 加好的a,b,c,d信号

工艺综合课程设计指导书

《工艺综合课程设计》简明指导书 1.设计目的 《机械制造工艺与机床夹具》是一门实践性很强的课程,只有通过实践性教学环节才能使学生对该课程的基础理论有更深刻的理解,也只有通过实践才能培养学生理论联系实际的能力和独立工作能力。该设计的目的就在于: (1)在结束了《机械制造工艺与机床夹具》及有关课程的学习后,通过本次设计使学生所学到的知识得到巩固和加深,并培养学生学会全面综合地应用所学知识,去分析和解决机械制造中的问题的能力。 (2)通过设计提高学生的自学能力,使学生熟悉机械制造中的有关手册、图表和技术资料,并学会结合生产实际正确使用这些资料。 (3)通过设计使学生树立正确的设计思想,懂得合理的设计应该是技术上先进的,经济上合理的并且在生产实践中是可行的。 (4)通过编写设计说明书,提高学生对技术文件的整理、写作及组织编排能力,为学生将来撰写技术及科研论文打下基础。 2.设计内容 (1)编制规定零件的机械制造工艺规程一份; (2)填写规定零件的《机械加工工艺过程卡》一份; (3)填写规定零件某机械加工工序的《机械加工工序卡片》一份; (4)设计规定零件的某机械加工工序的专用夹具一套并绘制其总装图一张; (5)编写设计说明书一份。 3.设计步骤及要求 (1)根据给定的生产纲领,确定生产类型。 (2)分析和审查零件图:读懂零件图;审查该零件的结构工艺性;了解其主要技术要求;区分哪些表面是加工表面,哪些表面是不加工表面;查清各表面的尺寸公差、形位公差、表面粗糙度和特殊要求,区分各表面的精密与粗糙、主要与次要、重要与不重要等相对地位。在此基础上初步确定各加工表面的加工方法。 (3)根据给定的零件材料,确定毛坯种类。并确定加工表面的总加工余量。 (4)拟定零件的机械加工工艺规程:选择粗基准和精基准;确定各表面的加工方法;确定加工顺序;安排热处理工序及必要的辅助工序。 (5)确定各工序的加工设备,刀具及夹具。 (6)对工艺规程中的某道工序使用的夹具进行设计:一般画一张A1图,要求手工绘图。 a. 以有利于反映该工序加工的位置,选取投影视图。用双点划线画出零件轮廓。 b. 在零件定位表面处,画出定位元件或机构。 c. 在夹紧位置处画夹紧机构。 d. 在对刀位置画出对刀元件或刀具导引装置。 e. 画出与机床连接的元件及其它元件。 f. 绘图时要遵守国家标准的规定画法,能用标准件的尽量采用标准件。 g. 为表达清楚夹具结构,应有足够的视图、剖面图、局部视图等。 h. 夹具图上应标注夹具的总体轮廓尺寸,对刀尺寸,配合尺寸及配合公差要求,并标明夹具制造,验收和使用的技术要求。 i. 在夹具图右下角绘制国家标准规定的标题栏和明细表,表中详细列出零件的名称,代号,数量,材料,热处理及其它要求。 (7)确定所设计夹具的工序的工序余量,计算工序尺寸及公差。 (8)确定所设计工序的切削用量及工时定额。 (9)填写工艺文件——工艺过程卡和工序卡各一份。

课程设计说明书范本模板

辽宁工业大学 工艺课程设计( 论文) 题目: Al-12.5 Si-3 Cu-2-2Ni-0.5Mg铸造合金热处理工艺设计 院(系): 光伏学院 专业班级: 材料工程技术102 学号: 学生姓名: 杨向天 指导教师: 李青春 教师职称: 副教授 起止时间: -7-5~ -7-16

前言 合金工具钢的淬硬性、淬透性、耐磨性和韧性均比碳素工具钢高, 按用途大致可分为刃具、模具和检验尺寸使用的量具用钢三类。合金工具钢广泛用作刃具、冷、热变形模具和量具, 也可用于制作柴油机燃料泵的活塞、阀门、阀座以及燃料阀喷嘴等。 此设计是经过在课堂学习热处理理论知识后的探索和尝试, 其内容讨论如何设计圆板牙钢的热处理工艺, 重点是制定合理的热处理规程, 并按此完成Al-12.5Si-3Cu圆板牙钢的热处理工艺设计。

目录( 小二号黑体, 段前段后1行, 1.25倍行距, 居中排列) 1 低合金刃具钢热处理工艺概述........................................ 错误!未定义书签。 2 圆板牙钢的热处理工艺设计............................................ 错误!未定义书签。 2.1 圆板牙钢的服役条件、失效形式......................... 错误!未定义书签。 2.2 圆板牙技术要求及示意图 ...................................... 错误!未定义书签。 2.3 圆板牙钢的材料选择 .............................................. 错误!未定义书签。 2.4 圆板牙9SiCr钢的C曲线...................................... 错误!未定义书签。 2.5 圆板牙9SiCr钢加工工艺流程图........................... 错误!未定义书签。 2.6 9SiCr圆板牙(M12)钢退火-淬火-回火热处理工艺错误!未定义书签。 2.7 9SiCr圆板牙钢退火、淬火、回火热处理工艺理论错误!未定义书 签。 2.8 选择设备、仪表和工夹具..................................... 错误!未定义书签。 2.9 圆板牙热处理质量检验项目、内容及要求 ........ 错误!未定义书签。 2.10 圆板牙热处理常见缺陷的预防及补救方法........ 错误!未定义书签。 3 参考文献 ............................................................................ 错误!未定义书签。

【3】微机原理与汇编语言程序设计课程设计实验指导书_图文_百.

《微机原理与汇编语言程序设计课程设计》 实验指导书 本课程设计包含软件部分和硬件部分两个环节。 软件部分完成在有限的课内实验环节无法涉及到的具有综合设计性的软件实验,如中断程序设计、I/O程序设计、宏设计等。硬件部分利用伟福试验系统设计一个电子钟电路,并编制一个程序使电子钟能正常运行。通过软硬件环节的设计和调试,巩固所学知识,增强动手能力,提高综合性工程素质。 总实验学时:共计2周 实验一:电话号码本设计完善 实验类型:综合性、设计性实验 学时:1天 适用对象:信息安全专业 实验二:显示器I/O程序设计 实验类型:综合性、设计性实验 学时:1天 适用对象:信息安全专业 实验三:中断程序设计 实验类型:综合性、设计性实验 学时:1天 适用对象:信息安全专业

实验四:发声系统设计 实验类型:综合性、设计性实验 学时:1天 适用对象:信息安全专业 实验五:键盘程序设计 实验类型:综合性、设计性实验 学时:1天 适用对象:信息安全专业 实验六:电子钟设计 实验类型:综合性、设计性实验 学时:5天 适用对象:信息安全专业 一、实验目的和要求 软件实验部分要求进一步熟悉汇编语言开发环境,掌握汇编语言程序设计的方法和步骤,并根据教师意见和讨论,完善改进课内环节所进行的实验及进行其他综合性、设计性较强的实验内容,具体如下: 1. 熟练掌握汇编语言程序设计环境,根据前期掌握程度,可选择Masm for windows集 成实验环境(实验室配备,或自行安装masm5.0、masm6.0、Emu8086,Tasm等,软件开发环境可由学生根据使用爱好自选。

2.根据课内实验验收时指导教师提出的意见,以及和同学讨论的结果,设计实现一个功能比较完善的电话号码本,并在设计中体现自己的工作特色,即具备和其他设计不同之处。 3. 显示器I/O程序设计,完成屏幕窗口控制程序。 要求在屏幕上开出三个窗口,它们的左上角和右下角的坐标分别是(5,10,(15,30和(5,50,(15,70和(18,15,(22,65,如从键盘输入字符,则显示在右窗口,同时也显示在下窗口的最下面一行。若需要将字符显示于左窗口,则先按下←键,接着再从键盘输入字符,字符就会从左窗口的最下行开始显示,同时下窗口也显示出左窗口的内容。如果再按下→键,输入字符就会接在先前输入的字符之后显示出来。当一行字符显示满后,窗口自动向上卷动一行,输入字符继续显示与最低一行,窗口最高一行向上卷动后消失。 4. 中断程序设计,完成内部中断服务程序和外部中断服务程序设计。具体要求为: (1.编写一个内部中断服务程序,使其能够显示以“0”结尾的字符串(利用显示器功能调用INT 10H。字符串缓冲区首地址为入口参数,利用DS:DX传递此参数。 (2.编写一个可屏蔽的外部中断服务程序,中断请求来自8259A的IRQ0,在新的外部中断服务程序(新08H中断中,使得每55ms的中断在屏幕上显示一串信息“A 8259A Interrupt!”,显示10次后,恢复原中断服务程序,返回DOS。 5.发声系统设计,参考教材中的例9.1,利用扬声器控制原理,编写一个简易乐器程序。 要求当按下1~8数字键时,分别发出连续的中音1~7和高音i(对应频率依次为524Hz、588Hz、660Hz、698Hz、784Hz、880Hz、988Hz和1048Hz;当按下其他键时,暂停发声。如果时间允许,可在此基础上自行发挥,如增加按键功能、编辑歌曲等。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

无机材料工艺课程设计指导书

无机非金属材料专业 《无机材料工艺课程设计》 指导书 无机非金属材料研究所编 2010年5月

目录 课程设计要求与说明 (1) 第一章窑炉制图规格 (2) 第二章窑体图 (9) 第三章尺寸标注 (13) 第四章窑炉课程设计说明书撰写规范 (19) 第五章设计说明书的编写 (22) 图1 隧道窑窑体主图 (26) 图2 隧道窑预热带典型断面图 (30) 图3 辊道窑窑体主图 (31) 图4 辊道窑窑体断面图 (33)

课程设计要求与说明 一、课程设计目的 课程设计是课堂教学的实践延伸,目的是对学生学习《陶瓷工艺学》课程的最后总结,是教学重要的一环。要求学生通过课程设计能综合运用和巩固所学的理论知识,并学会如何将理论与实践结合,研究解决实际中的工程技术问题。 主要任务是培养学生设计与绘图的基本技能,掌握窑炉设备的设计程序、过程与内容。学生根据老师给定的设计任务,在规定的时间里,应围绕自己的题目内容,结合所学知识,认真查阅资料,体验工程设计的过程,同时锻炼学生分析和解决实际问题的能力。 二、课程设计要求 通过本课程设计,要求学生进一步了解窑炉设备的基本结构;掌握窑炉设备的工作原理、工程制图方法和编制设计说明书的方法,同时要求学生融会贯通所学的理论知识,与实践结合,理解窑炉设备的设计思想和设计方法。学生对课程设计题目应视作真正的任务,要求学生认真负责地进行设计,每一个计算数据和结构设计应尽可能与生产实际相结合,课程设计应作为学生的创造性成果,不能抄袭历届学生的设计,也不允许简单照搬现成的资料,要求学生能表达自己的设计思想。 三、课程设计题目、内容 1、设计题目:隧道窑设计 辊道窑设计 2、设计内容 (1)图纸:主体结构图及主要断面图。要求尺寸标注齐全,线条、文字、图例规范; (2)说明书:确定主要尺寸和工作系统,进行燃烧计算和热平衡计算,要求计算正确,编写完整,格式规范。

课程设计说明书模板

机械制造学课程设计说明书 题目名称 专业班级 学生姓名 学号 指导教师 机械与电子工程系 二○一四年月日

目录 一、任务书--------------- -------3 二、指导教师评阅表----------------------4 三、序言-------------------------------------------------------------------------------------------3 四、零件的分析-----------------------------------------------------------------------------------3 五、工艺规程的设计------------------------------------------------------------------------------4 (1). 确定毛坯的制造形式---------------------------------------------------------------4 (2). 基面的选择---------------------------------------------------------------------------4 (3). 制订工艺路线------------------------------------------------------------------------4 (4). 机械加工余量、工序尺寸及毛坯尺寸的确------------------------------------5 (5). 确定切削用量及基本工时---------------------------------------------------------6 六、设计心得与小结-----------------------------------------------------------------------------11 七参考文献-------------------------------------------------------------------------------------1 1

数据结构课程设计实验指导书

《数据结构课程设计》实验指导书 1.1 实验报告撰写的基本要求 1.1.1 问题描述 这一部分需要简单介绍题目内容,即该实验到底要做什么。 1.1.2 算法说明 这一部分需要详细描述解决问题需要用到算法和重要的数据结构,即该实验到底应该怎么做。 基本要求:处理问题中所用到的关键算法都要描述清楚,而不是仅描述主函数。算法和数据结构可用伪码和图示描述,不要只写源代码和注释。 1.1.3 测试结果 这一部分内容需要紧扣实习的题目类型和要求,涉及提供相应的测试方法和结果。 对于需要利用某算法解决某问题的题目,应设计并填写一张测试用例表。每个测试用例一般包括下列内容: (1)测试输入:设计一组输入数据; (2)测试目的:设计该输入的目的在于测试程序在哪方面可能存在漏洞; (3)正确输出:对应该输入,若程序正确,应该输出的内容; (4)实际输出:该数据输入后,实际测试得到的输出内容; (5)错误原因:如果实际输出与正确输出不符,需分析产生错误的可能原因; (6)当前状态:分为“通过”(实际输出与正确相符)、“已改正”(实际输出与正确输出不符,但现在已修改正确)、“待修改”(实际输出与正确输出不符,且尚未改正)三种状态; (7)测试结果分析:需要详细解释测试策略,对得到的数据进行分析,总结出算法的时空复杂度,得出自己对算法性能等方面分析的结论。 附录:源代码 源代码列在附录中,要求程序风格清晰易理解,有充分的注释,有意义的注释行少于代码的30%将不能得分。

1.2 实习作业的提交要求 每个实习项目结束后,学生按照实验报告格式和内容要求提交实验报告(打印稿)1份,与此同时提交压缩后的电子资料1份,电子资料要求按照如下方式打包: 文档夹:包括电子版的实验报告 学号姓名.rar 源代码文件 代码夹:源代码文件对应的可执行文件 readme.txt文件,告知如何编译源代码,生 成可执行文件

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

焊接工艺课程设计指导书

材料成形及控制工程专业课程设计 焊接工艺设计指导书 一、设计目的 1.通过实际产品的焊接工艺设计,使学生了解焊接结构的生产工艺过程; 2.掌握焊接工艺的设计方法及工艺文件的制定; 3.培养学生运用专业理论知识解决实际焊接生产问题的能力,锻炼查阅文献资料及工具书籍的基本技能。 二、设计内容 在规定时间内,完成由教师指定的某一个结构件的焊接工艺设计任务,主要内容包括: 1. 焊接结构件的设计简图与技术要求; 2. 产品的制造工艺性能分析; 3. 主要接头的焊接方法选择与说明,坡口型式及尺寸的设计与说明; 4. 主要部件(筒节、封头等)的加工工艺过程卡; 5. 产品的装焊工艺过程卡; 6. 壳体的焊接工艺卡。 三、设计要求 1.手绘产品的结构设计简图,标注出产品的主要结构尺寸;主要零件的名称、材质与规格;设计技术要求(包括制造技术要求与检验要求)等。 2.产品的制造工艺性能分析主要包括容器主体材料的焊接性分析与结构的装焊工艺性能分析。容器主体材料的焊接性能主要分析材质的焊接裂纹倾向及产生其它焊接缺陷的倾向,说明为保证焊接质量应采取的工艺措施,如合理选用焊接方法、焊接材料、焊前预热、焊后热处理、层间温度等;结构的装焊工艺性能分析主要针对特殊、复杂容器结构,分析需要采用的装焊顺序与方法。 2. 接头焊接方法的选择和坡口型式的设计应包括纵焊缝、环焊缝、封头拼缝、 人孔接管与筒体的焊缝等,绘制接头的局部放大图。选择与设计的依据主要从容器结构尺寸、接头位置、材质及厚度、施焊条件与可操作性、焊接变形与应力、装焊顺序等方面考虑。 3. 主要部件(筒节、封头等)的加工过程卡要求制定部件从原材料备料至组 装焊接之前的全部加工工艺过程,包括各加工工序的名称、加工内容、所用的工装设备与检验要求等,必要时绘制出加工工艺简图; 4. 壳体的装焊工艺设计包括装焊工艺顺序、工序名称与内容、各工序所涉及

课程设计任务书及指导书格式

课程设计任务书及指导书格式 课程设计任务指导书文本格式一般为: 1.设计题目 2.设计目的 3.任务(要求:对于一班一题或半班一题的,需明确列出每位学生所对应的设计参数,学生以学号的后两位代替;对于一组一题的,应明确每题的学生人数和学生姓名) 4.时间安排 5.设计内容 6.设计工作要求 7.成绩评定标准 8.主要参考资料 Ⅰ、课程设计任务书 一、题目一:刚性基础 某厂房承重体系为三层钢筋混凝土框架结构,边柱荷载标准值F K边=400kN,中柱荷载标准值F K中=600kN,设计只考虑竖向荷载,要求学生完成刚性独立基础的设计(素混凝土基础),并计算沉降。工程设计正负零标高为20.0米,现形场平整平标高为20.0米。 二、设计条件 1 地质条件:场地土层如下:①素填土:松散,厚0.8m;②粘土:可塑,厚4.0 m; ③粉质粘土:硬塑,厚10.0 m;其下为不可压缩基岩; 2、水文地质条件:分布上层滞水,水位随季节性变化,现水位为地下埋深0.5m ; 3、根据岩土工程勘察报告,相关土层的设计参数如下表: 表1:土层设计参数表

表2:土层压缩试验成果表 注:②层土的基础承载力修正系数为η b =0.3,ηd=1.6; 三、设计内容 1 学生承担的具体设计题号见表一,要求每班分4个组。 表三、课程设计题号表 2 设计计算书一份(内容包括) (1)基础平面尺寸、埋深、验算; (2)基础结构施工图; (3)沉降计算; 四、题目二:桩基础 某高层民房,采用钢筋混凝土框架结构,建筑高度46米,边柱(柱断面尺寸600×600mm2 ) 荷载标准值F K边=18000kN,中柱(柱断面尺寸800×800mm2)荷载标准值F K中=30000kN,柱弯矩M K=200KN·m,水平荷载H K=100KN,桩顶允许水平位移10mm,桩顶约束按固结考虑;要求学生完成桩基础的设计。工程设计正负零标高为20.0米,现形场平整平标高为20.0米。 地质条件:场地土层如下:①素填土:松散,厚1.8m;②粘土:可塑,厚5.0 m;③粉质粘土:硬塑,厚10.0 m;其下为不可压缩基岩; 水文地质条件:分布上层滞水,水位随季节性变化,现水位为地下埋深0.5m ; 桩的设计参数如下表。 表5:土层设计参数表

《面向对象程序设计》课程设计实验指导书2013

《面向对象程序设计》课程设计 实验指导书 武汉理工大学理学院 物理科学与技术系 2013年2月1日

目录 设计一简单计算器 (1) 设计二模拟时钟程序 (4) 设计三 24点游戏 (8) 设计四多媒体视频播放器 (11) 设计五幸运52 (14) 设计六简单画图程序 (17) 课程设计说明书要求 (20)

设计一简单计算器 一、概述 在运算过程中,通过使用计算器能减少运算量。既可以用“计算器”的标准视图执行简单的计算,也可以用其科学型视图执行高级的科学计算。用户使用“计算器”执行所有通常用手持计算器完成的标准操作。 简单计算器包括双目运算和单目运算功能。双目运算符包含基本的四则运算及乘幂功能,单目运算符包含正余弦,阶乘,对数,开方,倒数等运算。简单计算器可对输入任意操作数,包括小数和整数及正数和负数进行以上的所有运算并能连续运算,同时包含清除,退格,退出功能。简单计算器出现错误会给出相应错误提示。而且可以操作与运算按钮相对应的菜单项。 通过对简单计算器的设计,可以熟悉MFC编程,包括Visual C++在数学计算方面的知识、算法设计、对话框和控件的使用及应用程序的调试,同时对面向对象与可视化程序设计有一定的认识,并提高动手编程的能力。 二、设计任务 1、提出总体方案的设计思想和原理,绘制程序流程图和描述程序的功能,并说明程序的特点和难点。具体如下: 执行简单计算: (1) 键入计算的第一个数字。 (2) 单击“+”执行加、“-”执行减、“*”执行乘或“/”执行除。 (3) 键入计算的下一个数字。 (4) 输入所有剩余的运算符和数字。 (5)单击“=”。 执行科学计算:能够执行阶乘、正弦、余弦和指数运算。 2、添加相关控件,制作与用户交互性较好的应用程序界面。

EDA课程设计流水灯设计

EDA课程设计 流水灯设计 姓名: 金兼强 专业: 电子信息工程 班级: 093252 学号: 09325211 同组人:黄奕林简讯 黄树金兼强黄玉涛 指导老师:黄河 2012-11-9

目录 一、摘要〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃3 二、流水灯设计目的〃〃〃〃〃〃〃〃〃〃〃〃〃〃4 三、流水灯设计流程〃〃〃〃〃〃〃〃〃〃〃〃〃〃4 四、流水灯设计程序〃〃〃〃〃〃〃〃〃〃〃〃〃〃5 五、流水灯设计管脚分配〃〃〃〃〃〃〃〃〃〃7 六、功能仿真图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃8 七、原理图波形图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃9 八、设计注意事项〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃10 九、课程设计总结〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃11 十、参考文献〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃12 十一、评分表〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃 13

一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。 利用学到的电子技术知识,通过布置具有一定难度的设计题目,帮助学生熟悉课程设计任务和设计方法。

冲压工艺与模具设计课程设计指导与任务书

冲压工艺及模具设计》课程设计指导书 2.1 课程设计目的 本课程设计是在学生学完“冲压工艺与冷冲模具设计”理论课并进行了上机练习之后 进行的一个重要教学环节。是学生运用所学理论,联系实际,提高工程技术能力和培养严 谨细致作风的一次重要机会。通过本次设计要达到以下目的: 1、巩固与扩充“冲压工艺与冷冲模具设计”以及有关技术基础课程所学的内容,掌握 制订冲压工艺规程和设计冲压模具的方法。 2、培养综合运用本专业所学课程的知识, 解决生产中实际问题的工程技术能力 设计、计 算、绘图、技术分析与决策、文献检索以及撰写技术论文的能力)。 3、养成严肃、认真、细致地从事技术工作的优良作风。 2.2 课程设计步骤 1. 设计准备 1) 阅读产品零件图 (1) 设计前应预先准备好设计资料、手册、图册、绘图用具、图纸、说明书用纸。 (2) 认真研究任务书及指导书,分析设计题目的原始图样、零件的工作条件,明确设 计要求 及内容。 (3) 熟悉各种可采用的模具结构形式及其优缺点。 2) 冲件图样分析 产品零件图是分析编制冲压方案、设计模具的重要依据,对零件图的分析 主要是从冲 压工艺的角度出发,对冲压件的形状、尺寸 ( 最小孔边距、孔径、材料厚度、最大 外形 精度、表面粗糙度、材料性能等逐项分析,确定冲压工序图。若有与冲压工艺要求相悖者, 应采 取相应的解决措施或与指导教师协商更改。 (1) 工艺分析。 合理的冲压工艺,既能保证冲件的质量,使冲压工艺顺利进行,提高模具寿命,降低 成本,提高经济效益,同时给模具的设计、制造与修理带来方便。所以必须对指定的冲压 件图样进行充分的工艺分析,在此基础上,拟订各种可能的不同工艺方案。 工艺分析主要是分析冲件的形状、尺寸及使用要求,分析冲件的工艺性;根据成形规 律,确定所用冲压工艺方法;根据生产批量、冲压设备、模具加工的工艺条件等多方面因 素,进行全面的分析、研究,确定冲件的工艺性质、工序数量、工序的组合和先后顺序。 在几种可能的冲压工艺方案中,选择一种经济、合理的工艺方案,并填写冲压工艺卡。 (2) 制订冲压工艺。 制订冲压工艺方案时,应做如下工作: ① 备料。确定板料、条料的规格、要求,并计算出材料利用率。 ② 确定工序性质、数目、先后顺序、工序的组合形式。 包括: )、

课程设计设计说明书格式规范

课程设计设计说明书格式规范

课程设计设计说明书格式规范 一、课程设计设计说明书格式规范 装订成册的书面说明书和完整电子文档各一份,说明书统一采用A4纸打印,说明书格式如下,顺序为: (一)封面 (二)索命数正文,包括: 1、摘要(包括中文摘要和英文摘要): 分别为300字左右,应包括:工作目的、内容、结论、关键词 2、目录 以上部分以I、II……编制页码。以下部分根据章节编写序号和页码。 3、主体部分(不少于1 字,按要求设定页眉页角,要求居中) 主要包括引言或绪论、正文、结论、致谢,采用全角符号,英文和数字半角。每页28行、每行32-35个汉字,1.5倍行间距 3.1格式:主体部分的编写格式由引言(绪论)开始,以结论结束。主体部分必须由1页开始。一级标题之间换页,二级标题之间空行。 3.2序号 3.2.1毕业说明书各章应有序号,序号用阿拉伯数字编码,层

次格式为:1××××(三号黑体,居中)×××××××××××××××××××××× (内容用小四号宋体)。 1.1××××(小三号黑体,居左) ××××××××××××××××××××× (内容用小四号宋体)。 1.1.1××××(四号黑体,居左) ×××××××××××××××××××× (内容用小四号宋体)。 ①××××(用与内容同样大小的宋体) 1)××××(用与内容同样大小的宋体)a.××××(用与内容同样大小的宋体) 3.2.2说明书中的图、表、公式、算式等,一律用阿拉伯数字分别依序连编号编排序号。序号分章依序编码,其标注形式应便于互相区别,可分别为:图 2.1、表 3.2式(3.5)等 3.2.3说明书一律用阿拉伯数字连续编页码。页码由前言(或绪论)的首页开始,作为第1页,并为右页另页。题名页、摘要、目次页等前置部分可单独编排页码。页码必须统一标注每页页脚中部。力求不出空白页,如有,仍应以右页作为单页页码。 3.2.4说明书的附录依序用大写正体英文字母A、B、C……编序号,如:附录A。

软件工程课程设计指导书

软件工程课程设计指导书 作者:周兵 软件工程课程设计是为了加强和巩固软件工程这门学科知识及技能的学习而开设的,它是一门实践性的课程,上机实验是其主要的环节。本实验指导书是帮助同学们进行上机实验而制订的。 一、实验目的: 1.能按照软件工程的思想,采用面向对象的方法开发出一个小型软件系统。 2.在此过程中,能综合利用以前所学习的专业知识。 3.加深对软件工程这门学科知识的理解,并掌握其基本的技能及方法,培养良好的软件开发素养。 二、面向专业:计算机科学与技术 三、先修课程:一门计算机高级语言、C++语言、数据库系统概论 四、上机学时数:10学时 五、实验环境 1.单机模式 操作系统:Windows 开发工具:C++ Builder 6.0、Access 2000 六、课程设计的基本要求 1. 基本了解和掌握面向对象的开发的过程与方法。 2. 基本能够完成所要求的系统。 3. 报告文档符合具体要求。 七、设计内容 题目:选课系统 1.说明:本设计选择广大学生最熟悉的选课系统最为设计任务,便于同学联系实际,学以至用。但限于具体条件和时间的限制,宜采用C++ Builder 6.0、Access 2000。 2.具体要求: 1)数据要求 所存储和查询的数据要符合本学校的具体情况,所涉及的字段至少应包括(名称可 以不同):学生姓名、学号、登陆密码、性别、出生年月、籍贯、地址、学生电话、家庭地址、教师号、教师姓名、教研室、职称、性别、教师电话、课名、课号、学 分、先行课号、课时、开课教室、人数限制、选课人数、考试成绩、平时成绩、总 评成绩。 2)功能要求 功能至少应有:等录、查询开课情况、查询选课情况、查询成绩、选课、退课等。 3)设计要求 整个系统的开发过程及方法应符合软件工程的要求,软件能够正常运行。 八、报告

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

焊接结构课程设计指导书

焊接结构与生产工艺课程设计指导书通用桥式起重机金属结构和生产工艺设计 曹永胜李慕勤曹丽杰 佳木斯大学材料工程学院

通用桥式起重机金属结构和生产工艺课程设计指导书 一、设计目的 1.培养学生综合运用所学知识的技能.通过对典型焊接结构和生产工艺的设计,使学生能针对产品使用性能和使用条件,制定焊接结构的设计方案及生产工艺方案。在具体的设计过程中,应根据结构的特点和技术要求,提出问题,分析问题产生的原因,并找到解决问题的途径和具体措施,制定合理的结构设计方案和生产工艺方案,从而得到一次解决实际工程问题的锻炼. 2.培养学生自学能力.使学生熟悉工具书,参考书的查找与使用方法,在学习前人的设计经验的基础上,发挥主观能动性,有所创新. 3.了解焊接工程技术人员的主要任务,工作内容和方式方法. 二、设计内容与计划 (一)设计内容 1. 5~50T通用桥式起重机主梁箱型结构设计。 2. 5~50T通用桥式起重机主梁生产工艺指定。 3.5~50T通用桥式起重机主梁结构生产图纸绘制。 (二)设计计划 1.接受设计任务、查阅资料和制定设计方案。(2天) 2.主梁结构设计计算;(7天) 3.主梁结构生产图纸绘制;(1天) 4.主梁结构生产工艺分析;(2天) 5.主梁生产工艺规程制定。(2天) 6.总结和考核。(1天) (三)任务完成 课程设计完成后,学生应交付以下材料: 1 主梁结构设计计算说明书; 2 主梁结构生产工艺分析报告; 3 主梁结构生产用施工图纸; 4 主梁生产工艺规程.

通用桥式起重机主梁结构及生产工艺设计 §1 通用桥式起重机简介 通用桥式起重机是指用吊钩或抓斗(有的也有用电磁盘)吊取货物的一般用途的桥式起重机,它桥架(大车)和起重小车两大部分组成,桥架横跨于厂房或露天货物上空,沿吊车梁上的起重机轨道纵向运行。通用桥式起重机有大车运行机构(装在桥架上),起升机构和小车运行机构(装在小车上)等三种工作性机构,皆为电动。通用桥式起重机的起重量可达500吨,跨度50~60米。 1.1 通用桥式起重机的基本组成 1.2 通用桥式起重机的基本参数 1额定起重量Q(tf) 2 跨度L(m) 3大车运行速度(m/min) 4 小车运行速度(m/min) 5 起升高度(m) 6 起升速度(m/min) 7 接电持续率JC JC = 100t i /T % t i —在起重机的一个工作循环中该机的总运转时间。 T --起重机一个工作循环所需的时间。 T = 360/N h (s) 通用桥式起重机 大车 小车桥架 大车运行机构 主梁 端梁小车架 小车运行机构 起升机构 图 1 通用桥式起重机组成

微机原理与接口技术课程设计指导书模板

微机原理与接口技术课程设计指导书

微机原理与课程设计指导书 第一部分总则 一、目的要求 课程设计是培养和锻炼在校学生综合应用所学理论知识解决实际问题能力、进行工程实训的重要教学环节,它具有动手、动脑,理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一种较好方法。 微机原理及接口应用是一门实践性较强的课程,让学生在学完该课程之后,进行一次课程设计,使学生将课堂所学的知识和实践有机结合起来,初步掌握计算机应用系统设计的步骤和接口设计的方法,提高分析和解决实际问题的能力。 经过设计实践,培养学生查阅专业资料、工具书或参考书,了解有关工业标准,掌握现代设计手段和软件工具,并能以图纸和说明书表示设计思想和结果的能力。 经过设计,不但要培养和提高学生解决工程具体问题、动脑动手的技术工作能力,而且还要逐步建立科学正确的设计和科研思想,培养良好的设计习惯,牢固树立实事求是和严肃认真的工作态度。 二、设计步骤与设计说明书的撰写要求

1、设计步骤 1)选题与分组:根据分组,选择课题,在小组内进行分工,进行系统调查,搜集资料。 2)课题分析:根据搜集的资料,进行功能分析,并进行系统功能等设计。 3)课题设计:根据课题要求设计必要的电路,并利用汇编语言编写程序,实现所设计的模块功能。 4)调试与测试:利用计算机和微机原理与接口实验箱自行调试程序,成员交叉测试程序,并记录测试情况。 5)验收与评分:指导教师对每个小组的开发的系统,及每个成员开发的模块进行综合验收,结合设计报告,根据课程设计成绩的评定方法,评出成绩。 2、设计说明书的撰写要求 1)本课题设计主要内容和要求。 2)描述自己所分配到的设计任务。 3)进度安排与完成情况。 4)所涉及到的技术原理与解决方案。 5)本课程设计的目的意义,设计的结果与心得体会。 三、时间进度安排(1周一至2周) 1、组织动员与分组,针对课题进行分组讨论,1天。 2、资料查阅,功能分析,1天。

数据结构课程设计实验指导书

数据结构课程设计 指 导 书 东华大学计算机科学与技术学院 2017年1月

目录 1.前言 (1) 1.1指导思想 (1) 1.2设计任务 (1) 1.3参考进度 (2) 1.4成绩评定 (2) 1.5注意事项 (3) 1.6参考书目 (3) 2.个人任务 (4) 2.1 排序算法设计 (4) 2.2 应用算法设计 (4) 3 小组任务 (6) 3.1 有向图问题 (6) 3.2 最小生成树问题 (6) 3.3 关键路径问题 (6)

1.前言 《数据结构》是计算机科学与技术专业的一门核心专业基础课程,其主要任务是培养学生的算法设计能力及良好的程序设计习惯。通过学习,要求学生掌握典型算法的设计思想及程序实现,能够根据实际问题选取合适的存储方案、设计出简洁、高效、实用的算法,并为后续课程的学习及软件开发打下良好的基础。 1.1指导思想 本次课程设计的指导思想是: 1、学习获取知识的方法; 2、提高发现问题、分析问题和解决实际问题的能力; 3、加强创新意识和创新精神; 4、加强团队的分工与合作; 5、掌握面向实际背景思考问题的方法。 1.2设计任务 本次课程设计任务主要分为个人任务和小组任务两种。 个人基本任务: 在DHU-OJ平台上按要求完成“个人任务”部分的设计任务,其中选做题不是必须完成的任务。 小组任务: 完成“小组任务”部分的设计任务,其中选做题不是必须完成的任务。1.1要求 1、每项目小组人员为3~5名。 2、每项目小组提交一份课程设计报告,内容包括:课题名称,课题参加人 员名单和分工,课题的目的,课题内容,需求分析、概要设计、主要代码 分析、测试结果、课题特色和创新之处、收获与体会、使用说明。 3、每人必须在完成个人任务的基础上提交个人任务的设计报告,内容包括:

相关主题
文本预览
相关文档 最新文档