当前位置:文档之家› 单片机应用技术课程标准

单片机应用技术课程标准

单片机应用技术课程标准
单片机应用技术课程标准

《单片机应用技术》课程标准

一、课程简介

《单片机应用技术》以标准C语言和MCS-51单片机为基础,全面系统地介绍基于C 语言的单片机程序设计与应用的基本问题,是一门重在应用、兼顾理论的实用教程。主要内容包括:MCS-51系列单片机开发操作环境、单片机硬件系统、单片机的C语言概述、C51语言程序设计基础、单片机内部资源和外部扩展资源的C51语言编程、μVision2集成开发环境的使用等。本课程以单片机应用系统为主,实训要求选自工程实际;将知识点分散到完成不同的实训情境中,以任务为驱动,边讲边练;课内课外结合,组织学生创新竞赛,培养学生的创新能力。

二、课程性质与定位

本课程是电子类各专业(如电子信息工程技术、应用电子技术、电气自动化技术等)的一门专业课程,而且是核心课程。通过本课程的教学,使学生系统掌握单片机的基本原理、功能、应用、程序设计方法和编程技巧,使学生掌握一种基本机型,掌握单片机控制技术的基本原理和应用,为今后从事电子、自动化控制领域的工作打下基础。其前修课程有《电工电子技术》、《EDA技术》、《计算机文化基础》等,后续课程为《单片机C语言开发技术》、《嵌入式系统》等课程。

三、课程设计思路

本课程的开发设计是校内课程团队成员与行业企业技术人员共同分析岗位需求,确立岗位职业能力与工作过程。

走访大量从事电子产品、设备设计、生产、制造和电子自动检测相关企业,深入行业企业一线进行岗位职业能力与工作过程调查;

与企业生产一线技术人员共同制定课程标准,共建更能贴近和满足实际应用能力需求的能力训练体系;

与在企业一线从事电子测量、电子产品设计与制作、电子电气设备生产、运行、维护的毕业学生进行交流,听取毕业生对本课程建设的反馈意见,以他们的亲身经历和切身体会帮助我们审视以往课程建设体系中存在的问题,并对实训教学情境的构建提出修改意见。

通过与行业企业的深入交流,确立了以面向装配工、测量员、电子产品设计与制作的生产制造等岗位、针对实际工作过程中完成各项工作任务应具备的职业能力,从系统化的学习情境设计入手,确立了以围绕突出学生职业能力培养和职业素质养成这一主

线,构建“应用性理论知识讲授+专项训练+顶岗实习”三位一体的课程体系改革的建设思路。

四、课程培养目标

(一)总体目标

作为一门专业课程,通过工业标准单片机MCS-51的介绍,使学生掌握单片机技术的基本知识和基本技能,初步形成解决生产现场实际问题的应用能力;培养学生的思维能力和科学精神,培养学生学习与新技术的能力;提高学生的综合素质,培养创新意识。本课程从实际工程应用和高职教学需要,以高性能AT89C51为背景,介绍单片机的结构、工作原理、设计方法和实际应用。

本课程将紧紧围绕以培养学生能力为重点,以情境化方式开展教学活动,结合现代科学技术发展的情况,将课程划分为四个平台:了解单片机教学平台、核心技能训练平台、理论再提高教学平台和综合技能训练平台;其中了解单片机教学平台包含三个情境:芯片情境,开发系统情境,指令系统情境;核心技能训练平台包含:信号灯控制情境,交通灯控制情境,主程序与子程序转换情境;理论再提高平台包含:编程理论,定时/计数器,接口技术和通讯技术;综合技能训练平台包含:电子表情境和单片机温度控制系统情境。有效提高学生的学习能力和应用能力。

(二)知识目标

1.了解单片机及单片机应用系统的构成;

2.掌握MCS-51单片机结构、原理特点等;

3.掌握时钟电路与复位电路的结构、工作过程等;

4.了解单片机的工作过程;

5.了解单片机开发系统的构成、功能;

6.掌握单片机应用系统的调试方法及相关软件的使用;

7.掌握C语言的基本结构、特点;

8.掌握C语言的数据与运算,基本语句;

9.掌握C语言的函数分类与定义、调用;

10.掌握C语言的数组应用;

11.掌握分支程序设计、循环程序设计、查表程序设计、子程序设计的方法;

12.掌握堆栈的结构及其相关应用;

13.掌握定时/计数器的结构、原理及应用等;

14.了解MCS-51中断系统;

15.掌握中断的概念、中断的处理过程、外部中断源的扩展及中断系统的应用;

16.掌握单片机与显示器、键盘接口相关知识;

17.掌握D/A与A/D转换接口相关知识;

18.了解串行通信基础;

19.掌握MCS-51串行接口技术、单片机之间通信技术、PC机与单片机通信技术等;

20.了解单片机系统扩展相关知识。

(三)能力目标

1.具备单片机硬件系统的应用能力;

2.具备单片机开发系统的应用能力;

3.能够熟练使用单片机相关开发软件;

4.能够熟练使用C语言的基本语句;

5.能够熟练使用C语言的函数;

6.能够熟练使用C语言的数组;

7.能够熟练应用定时/计数器、中断系统开发综合程序;

8.能够熟练应用单片机与显示器、键盘接口完成相关综合性项目的开发;

9.能够熟练应用D/A与A/D转换接口;

10.能够熟练应用单片机之间通信、PC机与单片机通信;

11.能够对单片机进行系统扩展。

(四)素质目标

1.通过单片机应用技术课程的学习,了解单片机世界,激发同学们的学习兴趣,促使其加深认识所学专业,培养专业归属感;

2.培养学生独立思考、勤于思考、善于提问的学习习惯,进一步树立崇尚科学精神,坚定求真、求实和创新的科学态度;

3.培养学生团队合作、沟通协调能力。

五、课程内容和要求

六、教师任职要求

七、教学条件与设施要求

目前,本课程拥有技术先进的校内实训基地,利用校内实训基地,能够很好的完成本课程的现场教学、实验、实训、实习、综合实训等项目。学生的实验、实训可以不受空间和课时的限制。

电工电子与自动化技术实训基地2006年被国家财政部和教育部确定为中央财政支持的实训基地建设项目,中央财政支持170万元,地方配套资金170万元。近几年来,投资引进了大量国内先进的科研实验实训设备,实训设备应用了现代化计算机仿真技术,通过模拟、仿真、操作三个层面完成各种与生产一线相同的科研项目和实训项目。可进行先进的电子自动检测、电子产品设计、电子产品制作与调试等技能实训,全面系统而有效的实现了电子信息工程技术等相关专业的技能训练。

本班级上课所需要的设备、工具及台套数:

《单片机应用技术》教学做一体化实训室之二

本课程以职业能力培养和职业素养养成为目的,按照“校企融合、同兴共赢”的办学模式,把课堂搬到实训工厂,教学在工厂,服务到现场。坚持教学、技术服务与生产相结合,积极开展技术合作与服务,与青岛海信集团、海尔集团、烟台富士康工业园、山东科明光电科技有限公司、山东科汇电气股份有限公司、淄博美林电子公司等60余家企业建立了稳定的校外实训基地。聘请了企业技术骨干作为兼职教师,从事实践技能课的讲授和顶岗实习指导老师,聘请了山东科汇电气有限公司的徐丙垠董事长(教授、博士)、山东大学的程林教授(博导)作为客座教授,定期来我院作报告,指导实习实训基地建设及应用性项目研发。

八、实施建议

(一)教学建议

教师可以根据自身的素质、教学的需要以及教学条件,选择不同方式进行教学。

1.教学模式的设计与创新

(1)以典型单片机硬件、软件为载体设计教学过程和学习项目,把课程学习内容与企业生产实际相联系,综合其它课程的知识,提出各种实际加工问题并形成主题任务,进行任务驱动式教学;将学生置于发现问题,提出问题、思考问题、探究问提,解决问题的动态过程中学习。

(2)教学模式充分体现教师为主导,学生为主体的精神,充分发挥学生教学主体的作用,充分调动学生的学习主动性和能动性。教师的教学角色定位是学生学习活动的组织者和指导者。学生的主体地位体现在:学习问题或任务的发现者和探究者;协作活动的参与者;学习问题或任务的解决者。

2.多种教学方法的运用

本课程教学方法与教学手段改革所遵循的基本原则是:有利于理论与实践的紧密结合;有利于学生职业技能的提高;有利于学生对基本理论的理解和掌握;有利于学生职业素质的养成。

(1)项目化教学。按照基于工作过程的课程开发要求,将《单片机应用技术》课程学习内容分解为与工作过程相匹配的8个项目,将必需、够用为度的基础理论和基本知识与典型程序的设计、新技术、新工艺的实际应用等知识点结合具体工作任务学习,形成了教、学、做一体化的六步教学模式,即:学习工作项目:确定任务→制定工作计

划→实施计划→进行质量控制→检测→评估反馈。

(2)现场教学。教师在现场环境或模式环境中边讲解边进行演示,然后学生在现场进行技能训练,让学生在边学边动手的过程中理解和掌握知识。课程教学以典型程序开发任务开展教学,教学过程为:教师展示典型程序开发范例(加工任务)→教师讲解相关知识点介绍(教师教)→教师指导学生进行相关实践(学生学,校内基地或校外基地)→学生练习实践(学生做)→开展评价(师评、学生互评等),通过此方法促进了学生的积极思考。

对于现场教学,教学做一体,实施“四阶段教学法”,即“师说生听——师做生看——生练师看——生做师评”。

(3)小组讨论法。在教学中安排小组讨论课,在讨论课中教师的作用是创设问题,引导和启发学生积极思考,使学生主动参与教学,让学生成为学习主体,让学生有机会发表自己的学习体会,相互交流。这样充分调动了学生的主观能动性,将教师的教学与学生的学习活动融为一体。讨论课中通过对重点、难点知识进行举一反三的讨论研究,培养学生的沟通、团结协作、分析和解决实际问题的能力——分组学习,团结合作,完成工作项目。

(4)注重学生在生产一线环境中的实践锻炼,课程中间安排学生到实训基地进行生产实习,让学生到生产第一线去锻炼,实习过程请工厂技术人员和能工巧匠做讲解,从而了解工厂的生产管理情况和主要产品的加工工艺,开阔视野,提高感性认识,也提升了学生的职业综合素质。

3.现代教学技术手段的应用

(1)充分运用现代教育技术及教学手段,提高教学效果,通过采用多媒体课件、教学录像、加工视频等多媒体手段,形象、生动地表达典型程序开发过程和产品制作过程,增加学生感性认识。通过对各种程序开发过程及产品的装配过程进行图文并茂分析及进行动画演示,使学生很容易将理论和生产实践结合起来;采用虚拟项目动态模拟了各种加工方法和各类典型的程序开发过程,使学生在教室里就能真实地看到程序开发、调试的全过程,将生产现场搬到了课堂教学中,较好地解决了工艺生产实习环节存在的电路品种单一、加工工艺过程很难看全等问题。

(2)充分利用网络环境,制作适宜学生自主学习的精品课程学习资源,通过提供内容丰富的辅助教学网络资源,供学生在网上进行课程扩展学习、问题咨询、答题自测,扩大课堂教学效果。

(二)评价建议

1.对学生的评价

以定量方式呈现评价结果,采用平时考核、实践考核和期末综合考核相结合的形式。本课程以实践为导向、模块化的教学方式展开教学活动:基本原理考核,实践考核。考核形式多样,分散与集中相结合,笔试与操作相结合,期末与平时相结合。课程总成绩化整为零,减轻期终考试负担,注重平时学习的考核。根据行为向导型教学法的“质量控制”要求,就是要把总结性评价与形成性评价结合起来,全面提高本课程的教学效果。具体分值比例如下:

2.对教师的评价

按照学院相关规定进行。

3.对课程体系的评价

按照教务处相关要求进行评价。

(三)课程资源的开发与利用

根据课程目标、学生实际以及本课程的理论性,专业性和科学性等特点,本课程的教学应该建设由文字教材、多媒体课件、虚拟实训、工业案例和网络教材等多种媒体教学资源为一体的网络资源教学库,以文字教材为中心,辅之以多种多样的学习方式,提供内涵不同,形式多样的学习支持服务,共同完成教学任务,达成教学目标。

(四)教材的编写与使用建议

教材的编写要体现课程的性质、价值、基本理念、课程目标以及内容标准。

教材选用建议:

王静霞.《单片机应用技术》.电子工业出版社,2015

参考资料:

[1] 何立民.MCS-51系列单片机应用系统设计.第1版北京:北京航空航天大学出版社,1990

[2] 何立民.单片机应用技术选编. 第1版北京:北京航空航天大学出版社,1990

[3] 李华.MCS-51单片机实用接口技术. 第1版北京:北京航空航天大学出版社,1990

[4] 陈宝江.MCS单片机应用系统使用指南. 第1版北京:机械工业出版社,1997

[5] 徐仁贵.微型计算机接口技术及应用. 第1版北京:机械工业出版社,1998

[6] 张迎新.单片机初级教程. 第1版北京:北京航空航天大学出版社,2000

[7] 张俊谟.单片机中级教程. 第1版北京:北京航空航天大学出版社,2000

[8] 何立民.单片机高级教程.第1版北京:北京航空航天大学出版社,2000

[9] 王福瑞.单片微机测控系统设计大全.北京:北京航空航天大学出版社,1999

[10] 张红润.单片机应用技术教程.北京:清华大学出版社,1997

九、附录

附录1: MCS-51 指令系统常用符号及含义

附录2:《单片机应用技术》基础英语词汇

Ch1 Hardware System of Single Chip Microcomputer

PC (Personal Computer)

机中央处理单元(CPU,Central Processing Unit)

输入输出(I/O,Input/Output)

随机存取存储器(RAM,Random Access Memory)

专用寄存器(Special Function Register)

程序计数器(PC,Program Counter)

程序状态字(PSW,Program Status Word)

堆栈指针(SP,Stack Pointer)

时钟电路(Clock circuit)

复位电路(Reset circuit)

电压(Voltage)

地线(Ground)

Ch2 The Exploitation of Single Chip Microcomputer

仿真器(emulator)

工具软件(facility and software)

电源( power supply)

通信电缆(Communication Cable)

运行(Execute)、

仿真插座(Emulator socket )

单步运行(Step)、

在线仿真功能 (On-line emulator function)

跟踪运行(Trace)

在线仿真器(In Circuit Emulator,简称ICE)

断点运行(Breakpoint)

Ch3 Instruction System of the MCS-51

MCS(Micro Computer System)

指令系统(Instruction System)

寻址方式(Look for address mode)

直接(Directness)立即

(Immediately)

间接(Indirectly)变址(Change address)

相对(Comparatively)

数据传送指令(Data Move Instruction)

算术运算指令( Arithmetic Operation Instruction)

逻辑运算指令(Logic Operation Instruction)

控制转移指令(Control Transfer Instruction)

位操作指令(Bit Operation Instruction)

BCD码,(Binary Coded Decimal)加法(ADD,Addition)

减法(SUB,Subtration)乘法(MUL,Multiplication)除法(DIV,Divition)数据调整(DA,Data Adjust)

加大(INC,Increase)减少(DEC,Decrease)Ch4 The Program Design of Assembly Language

机器语言(Machine Language)汇编语言(Assembly Language)

高级语言(High-Level Language)

简单程序(simple program)分支程序( branch program)

循环程序(circle or loop program)子程序(Subprogram)Ch5 Time and Interrupt

中断(Interrupt)

计数器(counter)定时器(Timer)

定时器工作方式寄存器(TMOD,Timer mode)

定时器控制寄存器(TCON,Timer control)

启动定时器(TR,Timer Run)

定时器满标志位(TF,Time Full)

中断允许寄存器(IE,Interrupt Enable)

中断优先权寄存器(Interrupt Priority)

交通指挥灯 traffic light

倒计时 countdown

片内 RAM on-chip Data RAM

Ch6 The Expanded System of Single Chip Microcomputer

静态RAM(SRAM,Static Random Access Memory )

动态 RAM DRAM,Dynamic Random Access Memory)

快擦写型存储器(Flash Memory)

只读存储器(ROM,Read-only Memory)

随机存取存储器(RAM,Random AccessMemor)

Ch7 The Interface Technology of Single Micro Computer

发光二极管(LED,Light Emitting Diode)

液晶显示器(LCD,Liquid Crystal Display)

模数转换器(A/D Converter,Analogy/Digital Converter)

数模转换器(D/A Converter,Digital/Analogy Converter)

打印机(Printer)

Ch8 Serial Port Communicate Technology

串行口(Serial Port)并行口(Parallel Port)外部 external

通信(Communication)

字符帧(Character frame)

波特率(Baud Rate)

异步通信(Asynchronous Communication)同步通信(Synchronous Communication)

通用异步收发器(UART,Universal Asynchronous Receiver Transmitter)

通用同步收发器(USRT,Universal Synchronous Receiver/Transmitter)

全双工(FD/FDX,Full Duplex)

半双工(Semiduplex)

单工(SPX,Simplex)

单片机应用技术课程整体教学教案

《单片机应用技术》整体教学设计 (2015~2016学年第2学期) 课程名称:单片机应用技术 所属系部:信息与智能工程系 制定人:郭志勇巩雪洁 合作人:自成留忠 制定时间:2016.1.16

电子信息职业技术学院

一、课程基本信息 一、课程定位 本课程主要是以智能控制、智能电子产品、智能机器人工程项目为主线,采用企业真实工作任务,通过“项目驱动”教学模式,对国外广泛应用的MCS-51系列单片机的AT89S52单片机工作原理、应用系统的剖析,使学生获得有关单 片机硬件、软件的基本概念、基本知识和单片机应用系统的设计编程入门知识以及用C 语言进行程序设计、运行、调试等基本技能,培养学生分析问题和解决问题的能力。 1. 岗位分析: 本课程主要培养具有智能电子产品和智能控制设计、分析、调试和制作能力的技术技能型人才,可以从事智能电子产品和智能控制设计开发、生产、销售与服务等相关工作,如图1所示。 图1 课程与岗位

2. 课程分析: 本课程是计算机控制技术、物联网应用技术等相关专业的职业能力必修课程,是计算机控制技术专业核心课程。在计算机控制技术专业课程体系中,基于单片机应用技术的课程结构如图2所示。 图2 基于单片机应用技术的课程结构 位于最底层的是本课程的先修课程,也是专业基础课程;本课程是专业核心课程;位于最上层的是本课程的后续课程,既专业课程。基于单片机应用技术的课程结构实现了无缝对接,同时也是我们学校其它相关专业的骨干课程,如嵌入式技术、电子信息工程技术、机电一体化、电气自动化、数控技术等专业都开设本课程。 二、课程目标设计 总体目标: 学通过本课程的学习,学生能熟练使用Proteus仿真软件、C语言编程,能完成简单的智能电子产品和智能控制设计开发;能根据智能电子产品和智能控制设计要求进行元器件焊接组装、软硬件调试;培养学生具有一定的创新思维能力,科学的工作方法和良好的职业道德意识,为提高学生职业技能奠定良好基础。 能力目标: (1)会运用keil集成开发环境,能用C语言编写控制程序、下载调试、查

单片机应用技术试卷A及答案

《单片机应用技术(C语言版)》试卷A一、单项选择题(每题1.5分,共30分) 1、51单片机的CPU主要由()组成。 A、运算器、控制器 B、加法器、寄存器 C、运算器、加法器 D、运算器、译码器 2、程序是以()形式存放在程序存储器中。 A、C语言源程序 B、汇编程序 C、二进制编码 D、BCD码 ——引脚()3、单片机8031的EA。 A、必须接地 B、必须接+5V电源 C、可悬空 D、以上三种视需要而定 4、单片机上电复位后,PC的内容为()。 A、0x0000 B、0x0003 C、0x000B D、0x0800 5、外部扩展存储器时,分时复用做数据线和低8位地址线的是() A、P0口 B、P1口 C、P2口 D、P3口 6、单片机的ALE引脚是以晶振振荡频率的()固定频率输出正脉冲,因此它可作为外部时钟或外部定时脉冲使用。 A、1/2 B、1/4 C、1/6 D、1/12 7、下面叙述不正确的是()。 A、一个C源程序可以由一个或多个函数组成。 B、一个C源程序必须包含一个主函数main( )。 C、在C程序中,注释说明只能位于一条语句的后面。 程序的基本组成部分单位是函数。C、D 8、在C语言中,当do-while语句中的条件为()时,循环结束。 A、0 B、false C、true D、非0 9、下面的while循环执行了()次空语句。 While(i=3); A、无限次 B、0次 C、1次 D、2次 10、C程序总是从()开始执行的。 A、主函数 B、主程序 C、子程序 D、主过程 11、在C51程序中常常把()作为循环体,用于消耗CPU运行时间,产生延时效果。 A、赋值语句 B、表达式语句 C、循环语句 D、空语句 12、在单片机应用系统中,LED数码管显示电路通常有()显示方式。 A、静态 B、动态 C、静态和动态 D、查询 13、共阳极LED数码管加反相器驱动时显示字符“6”的段码是() A、0x06 B、0x7D C、0x82 D、0xFA 14、51单片机的定时器T1用做定时方式时,采用工作方式1,则工作方式控制字为() A、0x01 B、0x05 C、010 D、0x50 15、启动T0开始计数是使TCON的()。

单片机原理及应用考试试卷及答案修订稿

单片机原理及应用考试 试卷及答案 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

一.填空题: 1.8051系列单片机字长是 8 位,有 40 根引脚。当系统扩展外部 存储器或扩展I/O口时, P0 口作地址低8位和数据传送总线, P2 口作地址总线高8位输出, P3 口的相应引脚会输出控制信号。 2.当EA为低电平(接地)时,CPU只执行外部程序存储器或ROM 中的程序。 3.数据指针DPTR有 16 位,程序计数器PC有 16 位。 4.在MCS-51单片机中,一个机器周期包括 12 个时钟周期。 5.C51编译器支持三种存储模式,其中SMALL模式默认的存储类型为 data ,LARGE模式默认的存储类型为 xdata 。 6.欲使P1口的低4位输出0,高4位不变,应执行一条 ANL P1,#0F0H 命令。 7.8051单片机复位后,PC = 0000H 。 8. 74LS138是具有3个输入的译码器芯片,用其输出作片选信号,最多可在 8 块芯片中选中其中任一块。 9.单片机位寻址区的单元地址是从 20H 单元到 2FH 单元,若某位地址是12H,它所在单元的地址应该是 22H 10.MOV A,30H 指令对于源操作数的寻址方式是直接寻 址。 11.在MCS-51单片机中,寄存器间接寻址用到的寄存器只能是通用寄存器R0、 R1和 DPTR 。 12..程序状态字PSW中的RS1和RS0的作用是选择工作寄存器组 13.8051单片机,当CPU响应某中断请求时,将会自动转向相应规定地址(即 中断入口地址)去执行,外部中断0入口地址为: 0003 H,T1入口地址 为 0018 H。 14.变量的指针就是变量的地址。对于变量a,如果它所对应的内存单元地址为2000H,它的指针是 2000H 。

基于STM32的经典项目设计实例

13个基于STM32的经典项目设计实例,全套资料STM32单片机现已火遍大江南北,各种教程资料也是遍布各大网站论坛,可谓一抓一大把,但大部分都差不多。今天总结了几篇电路城上关于STM32 的制作,不能说每篇都是经典,但都是在其他地方找不到的,很有学习参考意义的设计实例。尤其对于新手,是一个学习stm32单片机的“活生生”的范例。 1.开源硬件-基于STM32的自动刹车灯设计 自动刹车灯由电池供电并内置加速度传感器,因此无需额外连接其他线缆。使用两节5号电池时,设计待机时间为一年以上(待机功耗66微安),基本可以实现永不关机,即装即忘。 2.基于STM32F407的openmv项目设计资料 本项目是一个openmv,通过摄像头可以把图像实时传输给显示屏显示。MCU选择的是STM32F407(STM32F407数据手册),ARM Cortex-M4内核,最高频率可达180Mhz,包含一个单精度浮点DSP,一个DCMI(数字相机接口)。 3.STM32无线抢答器 无线抢答器采用STM32F302(STM32F302数据手册)芯片主控,同时用蓝牙,语音模块,数码管,七彩灯等部件构成,当主持人按下抢答键时,数码管进入倒记时,选手做好准备,当数码管从9变为0时,多名选手通过手机上虚拟按键进行抢答,同时语音播报抢答结果,显示屏上显示选手的抢答时间。 4.基于ARM-STM32的两轮自平衡小车 小车直立和方向控制任务都是直接通过控制小车两个电机完成的。假设小车电机可以虚拟地拆解成两个不同功能的驱动电机,它们同轴相连,分别控制小车的直立平衡、左右方向。 5.基于STM32F4高速频谱分析仪完整版(原创) 本系统是以STM32F407(STM32F407数据手册)进行加Blackman预处理,再做1024个点FFT进行频谱分析,最后将数据显示在LCD12864上,以便进行人机交互!该系统可实现任意波形信号的频谱显示,以及可以自动寻找各谐波分量的幅值,频率以及相位并进行8位有效数据显示。 6.基于STM32F4的信号分析仪设计(有视频,有代码) 这次基于discovery的板子做一个信号分析仪,就是练手,搞清楚STM32F4(STM32F4系列数据手册)中的USB固件编写,USB驱动的开发,上位机UI开发等一整套流程,过一把DIY的瘾。 7.基于STM32F4的解魔方机器人-stm32大赛二等奖(有视频) 本系统是基于Cortex-M4内核的STM32微控制器的解魔方机器人,在硬件方面主要有OV7670摄像头,LCD,舵机,在软件方面主要有OV7670的驱动,摄像头颜色识别算法,解魔方算法和舵机动作算法。整个设计过程包括电子系统的设计技术及调试技术,包括需求分析,原理图的绘制,制版,器件采购,安装,焊接,硬件调试,软件模块编写,软件模块测试,系统整体测试等整个开发调试过程。

(精校版)单片机原理及应用期末考试试卷及答案

(完整word版)单片机原理及应用期末考试试卷及答案 编辑整理: 尊敬的读者朋友们: 这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整word版)单片机原理及应用期末考试试卷及答案)的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。 本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整word版)单片机原理及应用期末考试试卷及答案的全部内容。

单片机原理及应用期末考试试卷 班级:_______________学号:_______________姓名:_______________得分:_______________(卷面共有100题,总分100分,各大题标有题量和总分,每小题标号后有小分) 一、单项选择题(33小题,共33分) [1分](1)要MCS—51系统中,若晶振频率屡8MHz,一个机器周期等于( A )μs A 1。5 B 3 C 1 D 0.5 [1分](2)MCS—51的时钟最高频率是 ( A )。 A 12MHz B 6 MHz C 8 MHz D 10 MHz [1分](3)下列不是单片机总线是( D ) A 地址总线 B 控制总线 C 数据总线 D 输出总线 [1分](4)十进制29的二进制表示为原码( C ) A 11100010 B 10101111 C 00011101 D 00001111 [1分](5)电子计算机技术在半个世纪中虽有很大进步,但至今其运行仍遵循着一位科学家提出的基本原理。这位科学家是:( D ) (A)牛顿(B)爱国斯坦(C)爱迪生(D)冯·诺伊曼 [1分](6)在CPU中,控制器的功能是:( C ) (A)进行逻辑运算(B)进行算术运算 (C)分析指令并发出相应的控制信号(D)只控制CPU的工作 [1分](7)下列数据中有可能是八进制数的是:( A) (A)764 (B)238 (C)396 (D)789 [1分](8)MCS—51的时钟最高频率是 (D ) A、6MHz B、8MHz C、10MHz D、12MHz [1分](9)-49D的二进制补码为.( B) A、 11101111 B、11101101 C、0001000 D、11101100 [1分](10)要用传送指令访问MCS—51片外RAM,它的指令操作码助记符应是( B) A、 MOV B、 MOVX C、 MOVC D、以上都行 [1分](11)若某存储芯片地址线为12根,那么它的存储容量为(C ) A、1KB B、2KB C、 4KB D、 8KB [1分](12)PSW=18H时,则当前工作寄存器是(D ) A、 0组成 B、 1组成 C、2组成 D、3组成 [1分](13)所谓CPU是指( B) A、运算器与存储器 B、运算器与控制器 C、输入输出设备 D、控制器与存储器 [1分](14)PSW=18H时,则当前工作寄存器是(D ) (A)0组(B)1组(C)2组(D)3组 [1分](15)P1口的每一位能驱动( B ) (A)2个TTL低电平负载有(B)4个TTL低电平负载 (C)8个TTL低电平负载有(D)10个TTL低电平负载 [1分](16)二进制数110010010对应的十六进制数可表示为( A) A、192H B、C90H C、1A2H D、CA0H [1分](17)一3的补码是( D ) A、10000011 B、11111100 C、11111110 D、11111101 [1分](18)对于8031来说,脚总是( A ) A、接地 B、接电源 C、悬空 D、不用 [1分](19)进位标志CY在( C)中 A、累加器 B、算逻运算部件ALU C、程序状态字寄存器PSW D、DPOR

单片机C语言编程实例

单片机C语言编程实例 前言 INTEL公司的MCS-51单片机是目前在我国应用得最广泛的单片机之一.随着 单片机应用技术的不断发展,许多公司纷纷以51单片机为内核,开发出与其兼容的 多种芯片,从而扩充和扩展了其品种和应用领域。 C语言已成为当前举世公认的高效简洁而又贴近硬件的编程语言之—。将C语言向单片机上的移植,始于20世纪80年代的中后期。经过十几年的努力,C语言终于成为专业化单片机上的实用高级语言。用C语言编写的8051单片机的软件,可以大大缩短开发周期,且明显地增加软件的可读性,便于改进和扩充,从而研制出规模更大、性能更完善的系统。因此,不管是对于新进入这一领域的开发者来说,还是对于有多年单片机开发经验的人来说,学习单片机的C语言编程技术都是十分必要的。. C语言是具有结构化.模块化编译的通用计算机语言,是国际上应用最广.最多的计算语言之一。C51是在通用C语言的基础上开发出的专门用于51系列单片机编程的C语言.与汇编语言相比,C51在功能上.结构上以及可读性.可移植性.可维护性等方面都有非常明显的优势。目前 最先进、功能最强大、国内用户最多的C51编译器是Keil Soft ware公司推出的KeilC51。第 一章单片机C语言入门 1.1建立您的第一个C项目 使用C语言肯定要使用到C编译器,以便把写好的C程序编译为机器码, 这样单片机才能执行编写好的程序。KEIL uVISION2是众多单片机应用开发软 件中优秀的软件之一,它支持众多不同公司的MCS51架构的芯片,它集编辑, 编译,仿真等于一体,同时还支持PLM、汇编和C语言的程序设计,它的界面 和常用的微软VC++的界面相似,界面友好,易学易用,在调试程序,软件仿真 方面也有很强大的功能。因此很多开发51应用的工程师或普通的单片机爱好者,都对它十分喜欢。 以上简单介绍了KEIL51软件,要使用KEIL51软件,必需先要安装它。KEIL51是一个商业的软件,对于我们这些普通爱好者可以到KEIL中国代理周 立功公司的网站上下载一份能编译2K的DEMO版软件,基本可以满足一般的个

单片机应用技术课后习题参考答案

1 习题1答案 1.2 填空题 (1)单片级应用系统是由硬件系统、软件系统组成的 (2)除了单片机和电源外,单片机最小系统包括时钟电路、复位电路 (3)除了电源和电线引脚外,XTAL1、XTAL2、RST、EA引脚信号必须连接相应电 路 (4)51系列单片机的存储器主要有4个物理存储空间,即片内数据存储器、片内程序存储器、片外数据存储器、片外程序存储器 (5)51系列单片机的XTAL1和XTAL2引脚是时钟电路 (6)51系列单片机的应用程序一般存放在程序存储器 (7)片内RAM低128单元,按其用途划分为工作寄存器组、位寻址区、用户RAM区(8)但振荡脉冲频率为12MHz时,一个机器周期为1us,当振荡脉冲频率为6MHz时,一个机器周期为2us (9)51系列单片机的复位电路有两种,即上电复位电路、按键复位电路 (10)输入单片机的复位信号需延续2个机器周期以上的高电平即为有效。 1.3 (4)什么是机器周期?机器周期和晶振频率有何关系?当晶振频率为6MHz时,机器周期是多少? 答: 规定一个机器周期的宽度为12个振荡脉冲周期,因此机器周期就是振荡脉冲的十二分频。 当振荡脉冲频率为6 MHz时,一个机器周期为2 μs。 (5)51系列单片机常用的复位方法有哪几种?画电路图并说明其工作原理。 答: (a)上电复位电路(b)按键复位电路 单片机常见的复位电路 图(a)为上电复位电路,它是利用电容充电来实现的。在接电瞬间,RST端的电位

与VCC相同,随着充电电流的减少,RST的电位逐渐下降。只要保证RST为高电平的时间大于两个机器周期,便能正常复位。 图(b)为按键复位电路。该电路除具有上电复位功能外,若要复位,只需按图(b)中的RESET键,此时电源VCC经电阻R1、R2分压,在RST端产生一个复位高电平。 习题3 答案 3.2 填空题 (2)用C51编程访问51单片机的并行I/O口是,可以按字节,寻址操作,还可以按位操作(4)C51中定义一个可位寻址变量FLAG访问P3口的P3.1引脚的方法是s bit FLAG=P3^1; (10)下面的while循环执行了无限次空语句。 i=3; While(i!=0); (15)在以下的数组定义中,关键字“code”是为了把tab数组存储在程序存储器ROM中 Unsigned char code b[]={}; 3.3 上机操作题 (1) //xiti3_3_1.c——第三章习题3.3上机操作题(1) #include void delay(unsigned char i); //延时函数声明 void main() //主函数 { while(1){ P1=0x55; //按状态1的方式点亮8个LED delay(200); //延时 P1=0xaa; //按状态2的方式点亮8个LED delay(200); //延时 } } void delay(unsigned char i) //延时函数参见任务1程序ex1_1.c (2) //xiti3_3_2.c——第三章习题3.3上机操作题(2) #include //包含头文件REG51.H void delay(unsigned char i); //延时函数声明 void main() //主函数 { unsigned char i,l,r; while(1){ l=0x10; // 高4位灯的位置初值为00010000B r=0x08; // 低4位灯的位置初值为00001000B

单片机应用技术教案双语教学1Ch1HardwareSystemofSingleChipMicroco

●Keywords: 微型计算机(Microcomputer) PC(Personal Computer)机 单片微型计算机(Single Chip Microcomputer) 中央处理单元(CPU,Central Processing Unit) 输入输出(I/O,Input/Output) 随机存取存储器(RAM,Random Access Memory) 只读存储器ROM(Read-only Memory) 专用寄存器(Special Function Register) 程序计数器(PC,Program Counter) 累加器(ACC,Accumulator) 程序状态字(PSW,Program Status Word) 堆栈指针(SP,Stack Pointer) 时钟电路(Clock circuit) 复位电路(Reset circuit) 电压(Voltage) 地线(Ground) ●Introduction: The generic 8031 architecture sports a Harvard architecture, which contains two separate buses for both program and data. So, it has two distinctive memory spaces of 64K X 8 size for both program and data. It is based on an 8 bit central processing unit with an 8 bit Accumulator and another 8 bit B register as main processing blocks. Other portions of the architecture include few 8 bit and 16 bit registers and 8 bit memory locations. Each 8031 device has some amount of data RAM built in the device for internal processing. This area is used for stack operations and temporary storage of data. This base architecture is supported with onchip peripheral functions like I/O ports, timers/counters, versatile serial communication port. So it is clear that this 8031 architecture was designed to cater many real time embedded needs. The following list gives the features of the 8031 architecture: #Optimized 8 bit CPU for control applications. #Extensive Boolean processing capabilities. #64K Program Memory address space. #64K Data Memory address space. #128 bytes of onchip Data Memory. #32 Bi-directional and individually addressable I/O lines. #Two 16 bit timer/counters. #Full Duplex UART.

《单片机应用技术》试卷B及答案

《单片机应用技术(C 语言版)》试卷B 一、 单项选择题(每题1.5分,共30分) 1、51单片机的CPU 主要由( )组成。 A 、运算器、控制器 B 、加法器、寄存器 C 、运算器、加法器 D 、运算器、译码器 2、PSW 中的RS1和RS0用来( ) 。 A 、选择工作方式 B 、指示复位 C 、选择定时器 D 、选择工作寄存器组 3、单片机8031的EA —— 引脚( )。 A 、必须接地 B 、必须接+5V 电源 C 、可悬空 D 、以上三种视需要而定 4、单片机上电复位后,PC 的内容为( )。 A 、0x0000 B 、0x0003 C 、0x000B D 、0x0800 5、单片机的4个并行I/O 端口作为通用I/O 端口使用,在输出数据时,必须外接上拉电阻的是( ) A 、P0口 B 、P1口 C 、P2口 D 、P3口 6、单片机的ALE 引脚是以晶振振荡频率的( )固定频率输出正脉冲,因此它可作为外部时钟或外部定时脉冲使用。 A 、1/2 B 、1/4 C 、1/6 D 、1/12 7、下面叙述不正确的是( )。 A 、一个C 源程序可以由一个或多个函数组成。 B 、一个 C 源程序必须包含一个主函数main( )。 C 、在C 程序中,注释说明只能位于一条语句的后面。 D 、C 程序的基本组成部分单位是函数。 8、在C51语言的if 语句中,用做判断的表达式为( )。 A 、关系表达式 B 、逻辑表达式 C 、算术表达式 D 、任意表达式

9、下面的while循环执行了()次空语句。 While(i=3); A、无限次 B、0次 C、1次 D、2次 10、C程序总是从()开始执行的。 A、主函数 B、主程序 C、子程序 D、主过程 11、在C51程序中常常把()作为循环体,用于消耗CPU运行时间,产生延时效果。 A、赋值语句 B、表达式语句 C、循环语句 D、空语句 12、在单片机应用系统中,LED数码管显示电路通常有()显示方式。 A、静态 B、动态 C、静态和动态 D、查询 13、在共阳极数码管使用中,若要仅显示小数点,则其相应的字段码是()。 A、0x80 B、0x10 C、0x40 D、0x7F 14、51单片机的定时器T1用做定时方式时,采用工作方式1,则工作方式控制字为() A、0x01 B、0x05 C、0x10 D、0x50 15、MCS-51系列单片机串行口发送/接收中断源的工作过程是:当串行口接收或发送完一帧数据时,将SCON中的(),向CPU申请中断。 A、RI或TI置1 B、RI或TI置0 C、RI置1或TI置0 D、RI置0或TI置1 16、在定时/计数器的计数初值计算中,若设最大计数值为M,对于工作方式1下的M值为()。 A、M=213 = 8192 B、M=28 = 256 C、M=24 = 16 D、M=216 = 65536 17、51单片机的串行口是()。 A、单工 B、全双工 C、半双工 D、并行口 18、表示串行数据传输速率的指标为()。 A、USART B、UART C、字符帧 D、波特率 19、串行口的控制寄存器为()。 A、SMOD B、SCON C、SBUF D、PCON 20、串行口的发送数据和接收数据端为()。 A、TXD和RXD B、TI和RI C、TB8和RB8 D、REN 二、填空题(每空1.5分,共30分)

《单片机应用技术》课程标准

电子技术应用专业 《单片机应用技术》课程标准 增加附录:51或52单片机说明书,编程软件烧程序软件使用说明,增加实验中使用的各种器件说明 修改任务内容:去掉任务ISP下载线制作 增加点阵及液晶显示 一、概述 (一)课程性质 单片机技术是现代电子工程领域一门飞速发展的技术,其在教学及产业界的技术推广仍然是当今科学技术发展的热点。学习单片机并掌握其应用已经成为电子类学生必须具备的技能,也是现代工科学生就业的一个基本条件。 《单片机应用技术》是电子技术应用专业的一门职业技术课。它以模拟电子技术、数字电子技术、C语言等课程为基础。后续课程如电子产品装调技术、电子产品检测技术及相关实训课程,一般都要应用到单片机系统的应用。它可以充分体现学生利用自己所掌握的知识解决实际工程问题的能力。单片机知识在电子类专业整个课程体系中处于承上启下的核心地位。 通过本课程的学习,使学生掌握单片机技术及其在工业控制、经济建设和日常生活中的应用,培养学生实践能力、创新能力,为将来从事相关产品的检测和维护等工作奠定坚实的基础,为学生将来在电子类专

业领域进一步发展打下良好基础。 (二)课程基本理念 本课程的设计突破了学科体系模式,打破了原来各学科体系的框架,将各学科的内容按“项目”进行整合。本课程的“项目”以职业实践活动为主线,因而,它是跨学科的,且理论与实践一体化。强调学生个人适应劳动力市场变化的需要。因而,本课程的设计兼顾了企业和个人两者的需求,着眼于人的全面发展,以培养全面素质为基础,以提高综合职业能力为核心。 本课程包含了单片机应用技术的五个项目,每个项目均由若干个具体的典型工作任务组成,每个任务均将相关知识和实践(含实验)过程有机结合,力求体现“做中学”、“学中做”的教学理念;本课程内容的选择上降低理论重心,突出实际应用,注重培养学生的应用能力和解决问题的实际工作能力。 (三)课程设计思路 本课程标准注重培养分析问题、解决问题的能力,强化学生动手实践能力,遵循学生认知规律,紧密结合应用电子专业的发展需要,为将来从事应用电子产品的设计、检测奠定坚实的基础。将本课程的教学活动分解设计成若干项目或工作情景,以项目为单位组织教学,并以典型设备为载体,通过具体案例,按单片机项目实施的顺序逐步展开,让学员在掌握技能的同时,引出相关专业理论知识,使学生在技能训练过程中加深对专业知识、技能的理解和应用,培养学生的综合职业能力,满足学生职业生涯发展的需要。 二、课程目标 1、总目标 本课程先修要求:学生已学习《模拟电子技术》、《数字电子技术》等相关专业基础理论课程,有一定的电路识图、分析能力后进行本专业能力实训。通过实训学生应达到: (一)应使学生熟悉单片机的原理与结构,通过试验实训的训练和一些简易单片机项目制作,掌握单片机控制的基本原理、接口技术,掌

单片机原理及应用教学教案

第一讲 一、授课内容: 1、什么是单片机 2、单片机的发展 二、授课类型:讲授 三、授课时数:2学时 四、教学目标:了解单片机的发展,应用领域和应用模式,掌握单片机的特点 五、教学重、难点: 重点/难点:单片机的特点 六、教学设想: 借助产品、作品演示,一方面可以使课堂生动,另一方面可以腾出大量时间加强对重难点知识的讲解,增强学生对知识的理解,同时提高他们对本学科的兴趣. 七、教学过程:(板书) 一、什么是单片机 随着微电子技术的不断发展,计算机技术也得到迅速发展,并且由于芯片的集成度的提高而使计算机微型化,出现了单片微型计算机(Single Chip Computer),简称单片机,也可称为微控制器MCU(Micro controller Unit)。单片机,即集成在一块芯片上的计算机,集成了中央处理器CPU(Central Processing Unit)、随机存储器RAM(Random Access Memory)、只读存储器(Read Only Memory)、定时器/计数器以及I/O接口电路等主要计算机部件。 二、单片微型计算机发展概况 单片机出现的历史并不长, 但发展十分迅猛。它的产生与发展和微处理器的产生与发展大体同步, 自1971年美国Intel公司首先推出4位微处理器(4004)以来, 它的发展到目前为止大致可分为5个阶段: 第1阶段(1971~1976): 单片机发展的初级阶段。 1971年11月Intel 公司首先设计出集成度为2 000只晶体管/片的4位微处理器Intel 4004, 并配有RAM、 ROM和移位寄存器, 构成了第一台MCS—4微处理器, 而后又推出了8位微处理器Intel 8008, 以及其它各公司相继推出的8位微处理器。 第2阶段(1976~1980): 低性能单片机阶段。以1976年Intel公司推

《单片机应用技术》期末测试题

《单片机应用技术》期末测试题 2分,共20分) 下面对于MCU描述正确的是()。 A.微控制器 B.存储器 C.开发工具 D.中断系统STC89C52RC单片机有几个I/O口()。 A.4 B.3 C.2 D.1)。 A.定时器T0中断 B.定时器T1中断 C.外部中断0 D.外部中断1 下面那个字符()一位七段数码不能显示。 A.2 B.3 C.C D.K 数码管中单个发光二极管的管压降一般为()。 A.1.7V B.1.8V C. 1.9V D.2V DS18B20有()根数据线。 A.4 B.3 C.2 D.1 DS18B20就是一种典型的()。 A.热电阻 B.热电偶 C.温度IC D.铂电阻 MCS-51单片机内部的定时器/计数器1可以用下面()来表示。 A.Q1 B.R1 C.S1 D.T1 DS1302的SCLK引脚功能是()。 A.串行时钟 B.串行数据 C.并行时钟 D.并行数据 10.DS1302有()个电源引脚。 A.4 B.3 C.2 D.1 二、简答题(共6题,每小题10分,共60分) 1. 简述时钟芯片的分类。 2.简述时钟周期、机器周期和指令周期之间的关系。 3. 如何对数码管进行测量?

什么称为中断?中断有什么特点? 6. 单片机的开发系统由哪些部分构成? 绘制出典型的程序下载电路。 三、编程题(共2题,每小题10分,共20分) 1.根据下图所示电路试编写程序实现1、3、4、5和7的循环显示。

2.如何用下图所示电路实现下述功能?要求根据下面说明编写相应C语言程序。 (1)按键按下,对应的灯亮,按键未按下,对应的灯灭。对应关系变为S1对应D4;S2对应D3;S3对应D2;S4对应D1。 (2)按下S1时D1到D4全亮;按下S2时D1到D4全灭;按下S3时D1 和D3全亮,D2和D4全灭;按下S4时D1和D3全灭,D2和D4全亮。

《单片机应用技术》模拟试卷A

××××学院 ××××学年第×学期试卷(A) 《单片机应用技术》课程 复查人: 备注: 一、填空题(1×10=10分) 1、十进制数96转化成十六进制数为_____。 2、________和________合称为中央处理单元CPU。 3、CPU通过______总线、______总线和______总线连接存储器及I/O接口。 4、8051单片机片内有4组工作寄存器,每组都有8个寄存器,分别命名为R0~ R7,可通过设置___和____的值来选择其中一组为当前工作寄存器组。 5、单片机中用于存放程序及表格常数的是_______存储器。 6、8051有个中断源。 二、选择题,四个选项中,只有一个正确,将答案写于表格中(2×15=30分) 1、MCS-51系列单片机内部不含ROM的芯片型号是()。 A.8031 B.8051 C.8751 D.8951 2、单片机内部RAM的可位寻址的地址空间是()。 A.00H~1FH B.20H~2FH C.30H~7FH D.80H~0FFH 3、在21个特殊功能寄存器中,有()个具有位寻址能力。 A.11 B.12 C.13 D.14 4、P3口作为外部中断0的引脚是()。 A. P3.0 B. P3.1 C. P3.2 D. P3.3 5、一个机器周期等于()振荡周期。 A.4 B.6 C.8 D.12 6、单片机执行复位操作后,内容不为00H的是()。 A.PSW B.PC C.TCON D.SP 7、指令MOV R0,#20H是()寻址方式。 A.直接 B.立即 C.间接 D.寄存器 8、在语句格式中,唯一不能缺省的部分是() A.标号 B.操作码 C.操作数 D.注释 9、执行算术运算时,OV=1表示()。 A.数据过大 B.数据过小 C.数据运算出现溢出 D.数据运算正常 10、定时/计数器在工作方式1的计数器位数是()。 A.13 B.16 C.8 D.11 11、紫外线擦除可编程的ROM属()。 A.ROM B.PROM C.EPROM D.EEPROM 12、特殊功能寄存器的地址分布在()区域。 A.00H~1FH B.20H~2FH C.30H~7FH D.80H~0FFH 13、寻址空间为外部程序存储器所用的指令是(): A. MOVX B.MOV C.MOVC D.ADDC 14、PC的值是() A.当前指令前一条指令的首地址 B.当前正在执行指令的首地址 C.下一条指令的首地址 D.控制器中指令寄存器的地址 15、在CPU内部反映程序运行状态或反映运算结果的特征寄存器是()。 (A)PC (B)PSW (C)A (D)SP 三、判断题,你认为说法正确的打√,不正确的打×(2×5=10分) 1、单片机的指令系统对某些寄存器既可按位又能按字节进行数据的操作。() 2、指令MOV B,#40H的含义是将数据40H送到寄存器B中。() 3、8051的RETI是中断返回命令。() 4、JB 20H.0 , L1指令含义为当20H.0为1时转移到L1,并将20H.0位清零。() 5、消除按键抖动的方法只能是采用软件技术。 () 四、指出下列指令对源操作数的寻址方式(2×5=10分) 1、MOV A, #40H 2、MOV A,40H 3、MOV A,@R1 4、MOV A, R3 5、SJMP LOOP

单片机应用技术教案双语教学3Ch3InstructionSystemoftheMCS51

Keywords: MCS(Micro Computer System) 指令系统(Instruction System) 寻址方式(Look for address mode) 寄存器(Register) 直接(Directness) 位(Bit) 立即(Immediately) 间接(Indirectly) 变址(Change address) 相对(Comparatively) 数据传送指令(Data Move Instruction) 算术运算指令(Arithmetic Operation Instruction)逻辑运算指令(Logic Operation Instruction) 控制转移指令(Control Transfer Instruction) 位操作指令(Bit Operation Instruction) 码(BCD,Binary Coded Decimal) 加法(ADD,Addition) 减法(SUB,Subtration) 乘法(MUL,Multiplication) 除法(DIV,Divition) 数据调整(DA,Data Adjust)

加大(INC,Increase) 减少(DEC,Decrease) Introduction: (Ⅰ)Addressing modes: 8031’s assembly language instruction set consists of an operation mnemonic and zero to three operands separated by commas. In two byte instructions the destination is specified first, and then the source. Byte wide mnemonics like ADD or MOV use the Accumulator as a source operand and also to receive the result. The 8031 supports five types of addressing modes: #Register Addressing #Direct Addressing #Register Indirect Addressing #Immediate Addressing #Index Addressing #Change Addressing #Comparatively Addressing *Register Addressing: Register Addressing accesses the eight working registers (R0-R7) of the selected register bank. The least significant three bits of the instruction opcode indicate which register is to be used for the operation. One of the four banks of registers is to be predefined in the PSW before using register addressing instruction. ACC, B, DPTR and CY, (the Boolean Accumulator) can also be addressed in this mode. *Direct Addressing: Direct addressing can access any onchip variables or hardware register. To indicate the address of the location, an additional byte is attached to the opcode. Depending on the highest order bit of the direct address byte one of two physical memory space is selected. When the direct address range is between 0 and 127 (00H - 7FH) one of the 128 low order

桂林电子科技大学单片机试卷

桂林电子科技大学信息科技学院试卷2009-2010 学年第1 学期课号 课程名称单片机原理与应用技术(A卷; 笔试, 闭卷)适用班级(或年级、专业)07级电信、信息 一、单项选择题(每小题3分,共45分) 1、- 10的补码为()。 A: F5H B:F6H C:0AH D:0BH 2、单片机复位后的SP与P0的内容是()。 A:SP=00H,P0=00H B: SP=00H,P0=FFH C:SP=07H,P0=00H D:SP=07H,P0=FFH 3、单片机中WR的功能是选通是()。 A:片外数据RAM B:片内数据RAM C:片外程序ROM D:片内程序ROM 4、在下列指令中,正确的MCS-51单片机指令是()。 A:INC C B:ADD A,B C:SUB A , R2 D:MOVX @R2, A 5、能同时将TF0清零的跳转指令是()。 A:JB TF0,LOOP B:JBC TF0,LOOP C:JNB TF0,LOOP D:JZ LOOP 6、当R0的地址为10H时,PSW中的RS1、RS0两位是()。 A:00 B:01 C:10 D:11 7、片内没有上拉电阻的并口是()。 A:P3 B:P2 C:P1 D:P0 8、外部中断1的中断服务程序入口地址是()。 A:0003H B:000BH C:0013H D:0023H 9、用12MHz晶体时,T1做一次定时的最长时间为()。 A:256 μS B:512 μS C:65536μS D:131072 μS 10、在串口控制寄存器SCON中,REN是()。

A:串行接收中断标志位B:串行接收允许位 C:串行发送中断标志位D:串行发送允许位 11、定时器T1的溢出中断标志是()。 A:IT1 B:TI C:TF1 D:IE1 12、EOC信号在ADC0809中起到的作用是() A.启动AD转换 C. ADC0809的片选信号 B.AD转换结束标志 D. 不能确定 13、已知(00H)= 06H, (01H) = 08H, 有这样的定义char data *p; 其中p = 0x01;则*p = () A.00H C.01H B.06H D.08H 14、已知C语言程序p = 0x30; *p = 0x48与之等价的汇编语言程序为() A.MOV 30H,#48H C.MOV 30H,48H B.MOV 30H,#48 D.MOV 30H,48 15、DAC0832的工作方式不包括以下哪一种() A.直通C.单缓冲 B.双缓冲D.双极性 二、阅读程序填空(每小题5分,共25分) 1、设PSW=00H, R0=49H、B=0EDH,问:执行下列程序后PSW= ?R0= ?B= ? MOV SP, #6FH PUSH 0F0H PUSH 00H PUSH PSW POP P SW POP 0F0H POP 00H 2、分别写出若A=57H,执行下列程序后A= ?若A=0F2H, 执行下列程序后 A= ? CJNE A, #80H , LOOP1 ; LJMP NEXT

相关主题
文本预览
相关文档 最新文档