当前位置:文档之家› 数字电子技术_期末考试试题汇总

数字电子技术_期末考试试题汇总

数字电子技术_期末考试试题汇总
数字电子技术_期末考试试题汇总

期末考试试题

课程名称 《数字电子技术》 适用专业自动化、测控 考试时间 ( 120 )分钟

一、 填空题(22分每空2分)

1、=⊕0A A , =⊕1A A 。

2、JK 触发器的特性方程为: n n n Q K Q J Q +=+1 。

3、单稳态触发器中,两个状态一个为 稳态 态,另一个为 暂稳态 态.多谐振荡器两个状态都为

暂稳态 态, 施密特触发器两个状态都为 稳态 态.

4、组合逻辑电路的输出仅仅只与该时刻的 输入 有关, 而与 电路原先状态 无关。

5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 0.1V 。

6、一个四选一数据选择器,其地址输入端有 两 个。 二、 化简题(15分 每小题5分)

用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈

1) Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15)=BD A +

2)∑∑+=)

11,10,9,3,2,1()15,14,13,0(),,,(d m D C B A L A C A D B A d m D C B A L ++=+=∑∑)11,10,9,3,2,1()15,14,13,0(),,,(

利用代数法化简逻辑函数,必须写出化简过程

3)________________________________________

__________)(),,(B A B A ABC B A C B A F +++=

3)0

)

(),,(______

________________

__________________________________________________

__________=+++=++++=+++=A BC B A B A AB BC B A B A B A ABC B A C B A F

三、 画图题(10分 每题5分)

据输入波形画输出波形或状态端波形(触发器的初始状态为0).

1、

1、

2、

四、分析题(17分)

1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分)

=

L⊕

B

A

2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分)

五进制计数器

五、 设计题(28分)

1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用74LS138和适当的与非门实现此电路(20分)1)根据题意,列出真值表

由题意可知,令输入为A 、B 、C 表示三台设备的工作情况,“1”表示正常,“0”表示不正常,令输出为R ,Y ,G 表示红、黄、绿三个批示灯的 状态,“1”表示亮,“0”表示灭。

2)由真值表列出逻辑函数

表达式为:

∑=)6,5,3,0(),,(m C B A R ∑=)4,2,1,0(),,(m C B A Y

7),,(m C B A G =

(3)根据逻辑函数表达式,选用译码器和与非门实现,画出逻辑电路图。

2、中规模同步四位二进制计数器74LS161的功能表见附表所示;请用反馈预置回零法设计一个六

8分)

六、分析画图题(8分)

画出下图所示电路在

V作用下,输出电压的波形和电压传输特性

i

一、填空题:(每空1分,共15分)1.逻辑函数Y AB C

=+的两种标准形式分别为

)6,4,0

(

)

(

,)7,5,3,2,1

(

)

(=

=

=

=∑i

M

A B C

Y

i

m

A B C

Y

i

i)、

()。

2.将2004个“1”异或起来得到的结果是(0 )。

3.半导体存储器的结构主要包含三个部分,分别是(地址译码器)、(存储矩阵)、(输出缓冲器)。

4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(0.039)v;当输入为10001000,则输出电压为( 5.31)v。

5.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换速度快。

6.由555定时器构成的三种电路中,(施密特触发器)和(单稳态触发器)是脉冲的整形电路。

7.与PAL相比,GAL器件有可编程的输出结构,它是通过对(结构控制字)进行编

程设定其( 输出逻辑宏单元 )的工作模式来实现的,而且由于采用了( E 2CMOS )的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。

二、根据要求作题: (共15分)

1. 将逻辑函数 P=AB+AC 写成“与或非”表达式,并用“集电极开路与非门”来实现。

1.C B A C B A P ?=+=

OC 与非门实现如图:

2.图1、2中电路均由CMOS 门电路构成,写出P 、Q 的表达式,并画出对应A 、B 、C 的P 、Q 波形。

2. C Q B C B A Q BC C A P n

n ?++?+=+=+1;

三、分析图3所示电路: (10分)

1)试写出8选1数据选择器的输出函数式;

1)

12701260125012401230122012101207

A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D D m Y i

i +++++++=∑=

2)画出A2、A1、A0从000~111连续变化时,Y 的波形图;

2)

3)说明电路的逻辑功能。

3)该电路为序列脉冲发生器,当A2、A1、A0从000~111连续变化时,Y 端输出连续脉冲10110011。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD 码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分)

设用A3A2A1A0表示该数,输出F 。列出真值表(6分)

∑??==12023)9,8,7,6,5(A A A A A m F

五、已知电路及CP 、A 的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B 和C

的波形。 (8分)

B

六、用T 触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T 的取值。 (6分)

B C

、T=1, 连线Q CP F ⊕=如图:

七、图6所示是16*4位ROM 和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP 信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP 信号频率之比。 (16分)

表1

CP 波形如图所示:

D3、D2、D1、D0频率比分别是1/15、3/15、5/15、7/15;

八、综合分析图7所示电路,RAM 的16个地址单元中的数据在表中列出。要求: (1)说明555定时器构成什么电路?

(18分) 555定时器构成多谐振荡器,发出矩形波;

(2)说明74LS160构成多少进制计数器?

74LS160构成九进制计数器,状态转换图如下:

(3)说明RAM 在此处于什么工作状态,起什么作用?

RAM 处于读出状态,将0000B ~1000B 单元的内容循环读出

(4)写出D\A 转换器CB7520的输出表达式(U O 与d 9~d 0之间的关系);

)2222(2826

677889910d d d d D V V N n REF O +++=-

=

(5)画出输出电压U o 的波形图(要求画一个完整的循环)。

输出电压波形图如下:

《数字电子技术基础》试题(第二套)

一、填空题:(每空1分,共16分)

1.逻辑函数有四种表示方法,它们分别是( 真值表 )、( 、逻辑图 )、( 、逻辑表达式、 )和( 卡诺图 )。 2.将2004个“1”异或起来得到的结果是(0 )。

3.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是( TTL 、 )电路和( CMOS )电路。

4.施密特触发器有( 两 )个稳定状态.,多谐振荡器有(0 )个稳定状态。 5.已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线(

10 )条,数据线( 4 )条。

6.已知被转换的信号的上限截止频率为10kHz ,则A/D 转换器的采样频率应高于( 20 )kHz ;完成一次转换所用的时间应小于( 50μS )。

7.GAL 器件的全称是( 通用阵列逻辑 ),与PAL 相比,它的输出电路是通过编程设定其( 输出逻辑宏单元 )的工作模式来实现的,而且由于采用了(E 2CMOS )的工艺结构,可以重复编程,使用更为方便灵活。

二、根据要求作题:(共16分)

3. 试画出用反相器和集电极开路与非门实现逻辑函数 C B AB Y +=。

1. C B B A C B B A Y ?=+=

2、图1、2中电路由TTL 门电路构成,图3由CMOS 门电路构成,试分别写出F1、F2、F3的表达式。

2.

B

C

CA

F

C

F

B

A

F+

=

=

+

=

3

2

1

;

;

三、已知电路及输入波形如图4所示,其中FF1是D锁存器,FF2是维持-阻塞D触发器,根据CP 和D的输入波形画出Q1和Q2的输出波形。设触发器的初始状态均为0。(8分)

四、分析图5所示电路,写出Z1、Z2的逻辑表达式,列出真值表,说明电路的逻辑功能。(10分)

(1)表达式

73217

42121m m m m Z m m m m Z +++=+++=

(2)真值表

(3)逻辑功能为:全减器

五、设计一位8421BCD 码的判奇电路,当输入码含奇数个“1”时,输出为1,否则为0。要求使用两种方法实现: (20分)

(1)用最少与非门实现,画出逻辑电路图;

首先,根据电路逻辑描述画出卡诺图:

(1)最简“与-或式”为:BCD D C B D C B D A D C B A Y ++++=;

“与非-与非式”为:BCD D C B D C B D A D C B A Y ????= (与非门实现图略)

(2)用一片8选1数据选择器74LS151加若干门电路实现,画出电路图。

(2)

六、电路如图6所示,其中R A =R B =10k Ω,C=0.1μf ,试问:

1.在Uk 为高电平期间,由555定时器构成的是什么电路,其输出U0的频率f0=?

(1) 多谐振荡器;

Hz

C R R f B A 4812ln )2(1

0=+=

2.分析由JK 触发器FF1、FF2、FF3构成的计数器电路,要求:写出驱动方程和状态方程,画出完

整的状态转换图;

(2) 驱动方程:

??

?==??

?==??

?==23231

2123

121;;;Q K Q J Q K Q J Q K Q J

状态方程:

???????+=+=+=+++31121121211

2323213Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q n n n

状态转换图:

3.设Q3、Q2、Q1的初态为000,Uk 所加正脉冲的宽度为Tw=5/f0,脉冲过后Q3、Q2、Q1将保持在哪个状态? (共15分)

初态为000,五个周期后将保持在100状态。

七、集成4位二进制加法计数器74161的连接图如图7所示,LD是预置控制端;D0、D1、D2、D3是预置数据输入端;Q3、Q2、Q1、Q0是触发器的输出端,Q0是最低位,Q3是最高位;LD为低电平时电路开始置数,LD为高电平时电路计数。试分析电路的功能。要求:(15分)

(1)列出状态转换表;

(2)检验自启动能力;

(3)说明计数模值。

1)状态转换图如下:(2)可以自启动;(3)模=8;

《数字电子技术基础》试题(第三套)

一、填空(每题1分,共10分)

1. TTL门电路输出高电平为 V,阈值电压为 V;

2. 触发器按动作特点可分为基本型、、和边沿型;

3. 组合逻辑电路产生竞争冒险的内因是;

4. 三位二进制减法计数器的初始状态为101,四个CP脉冲后它的状态为;

5. 如果要把一宽脉冲变换为窄脉冲应采用触发器;

6. RAM的扩展可分为、扩展两种;

7. PAL是可编程,EPROM是可编程;

8. GAL中的OLMC可组态为专用输入、、寄存反馈输出等几种工作模式;

9. 四位DAC的最大输出电压为5V,当输入数据为0101时,它的输出电压为 V;

10. 如果一个3位ADC输入电压的最大值为1V,采用“四舍五入”量化法,则它的量化阶距为V。

二、写出图1中,各逻辑电路的输出逻辑表达式,并化为最简与或式;

(G1、G2为OC门,TG1、TG2为CMOS传输门) (10分)

三、由四位并行进位全加器74LS283构成图2所示:(15分)

1. 当A=0,X3X2X1X0=0011,Y3Y2Y1Y0=0100求Z3Z2Z1Z0=?,W=?

2.当A=1,X3X2X1X0=1001,Y3Y2Y1Y0=0101求Z3Z2Z1Z0=?,W=?

3.写出X(X3X2X1X0),Y(Y3Y2Y1Y0),A与Z(Z3Z2Z1Z0),W之间的算法公式,并指出其功能.

四、试画出图3在CP脉冲作用下Q1,Q2,Y对应的电压波形。

(设触发器的初态为0,画6个完整的CP脉冲的波形) (15分)

五、由可擦可编程只读存储器EPROM2716构成的应用电路如图所示。(15分)

1. 计算EPROM2716的存储容量;

2.当ABCD=0110时,数码管显示什么数字;

3.写出Z的最小项表达式,并化为最简与或式;

六、由同步十进制加法计数器74LS160构成一数字系统如图所示,假设计数器的初态为0,测得组合逻辑电路的真值表如下所示:(20分)

1.画出74LS160的状态转换图;

2.画出整个数字系统的时序图;

3. 如果用同步四位二进制加法计

数器74LS161代替74LS160,试画出其

电路图(要求采用置数法);

4. 试用一片二进制译码器74LS138辅助与非门实现该组合逻辑电路功能。

七、时序PLA电路如图所示:(16分)

1. 求该时序电路的驱动方程、状态方程、输出方程;

2. 画该电路的状态转换表和状态转换图;

3. 试对应X的波形(如图所示),画Q1、Q2和Z的波形;

4. 说明该电路的功能。

中南大学信息学院《数字电子技术基础》

试题(第三套)参考答案

一、填空题:

1. 3.4 V 、1.4 V ;

2. 同步型 、主从型

; 3. 逻辑器件的传输延时 ; 4. 001 ;

5. 积分型单稳态 ;

6. 字扩展 、位扩展 ;

7. 与阵列 、或阵列

; 8. 组合输出 ; 9. 5/3 V ; 10. 2/15 V ; 二、

(1) C B B A C B AB Y +=?= (2) B A Z = 三、

(1)A =0时: Z =X +Y =0111; W =Co =0; (2)A =1时:1++=Y X Z =0100; 0==Co W ; (3)电路功能为:四位二进制加/减运算电路:

当A =0时,Z =X +Y ;当A =1时,Z =X -Y ;

四、

五、

(1) 存储容量为:2K ×8; (2)

数码管显示“6”;

(3)

BCD A m Z ==7; 六、

1.状态转换图

2.

3.

CP Z 1 2 3 4 5 6 7 8 9 10 11 12 13

数字电路笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

电力电子技术期末考试试题及答案(1)

电力电子技术试题 第 1 章电力电子器件 1.电力电子器件一般工作在 __开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为 __通态损耗 __,而当器件开关频率较高时,功率损耗主要为 __开关损耗 __。 3.电力电子器件组成的系统,一般由 __控制电路 __、_驱动电路 _、 _主电路 _三部分组成,由于电路中存在电压和电流的过冲,往往需添加 _保护电路 __。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为 _单极型器件 _ 、 _双极型器件_ 、_复合型器件 _三类。 5.电力二极管的工作特性可概括为 _承受正向电压导通,承受反相电压截止 _。 6.电力二极管的主要类型有 _普通二极管 _、_快恢复二极管 _、 _肖特基二极管 _。 7. 肖特基二极管的开关损耗 _小于快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为__正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流 IH与擎住电流 IL 在数值大小上有 IL__大于__IH 。 10.晶闸管断态不重复电压 UDSM与转折电压 Ubo数值大小上应为, UDSM_大于 __Ubo。 11.逆导晶闸管是将 _二极管_与晶闸管 _反并联_(如何连接)在同一管芯上的功率集成器件。 12.GTO的__多元集成 __结构是为了便于实现门极控制关断而设计的。 13.MOSFET的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的 _截止区 _、前者的饱和区对应后者的 __放大区 __、前者的非饱和区对应后者的 _饱和区 __。 14.电力 MOSFET的通态电阻具有 __正 __温度系数。 15.IGBT 的开启电压 UGE(th )随温度升高而 _略有下降 __,开关速度 __小于__电力 MOSFET。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为 _电压驱动型 _和_电流驱动型_两类。 17.IGBT的通态压降在 1/2 或1/3 额定电流以下区段具有 __负___温度系数,在1/2 或 1/3 额定电流以上区段具有 __正___温度系数。

电力电子技术期末考试试题及答案(史上最全)

电力电子技术试题 第1章电力电子器件 1.电力电子器件一般工作在__开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为__通态损耗__,而当器件开关频率较高 时,功率损耗主要为__开关损耗__。 3.电力电子器件组成的系统,一般由__控制电路__、_驱动电路_、 _主电路_三部分组成, 由于电路中存在电压和电流的过冲,往往需添加_保护电路__。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为_单极型器件_ 、 _ 双极型器件_ 、_复合型器件_三类。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 7.肖特基 二极管的开关损耗_小于_快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流IH与擎住电流IL在数值大小上有IL__大于__IH 。 10.晶闸管断态不重复电压UDSM与转折电压Ubo数值大小上应为,UDSM_大于__Ubo。 11.逆导晶闸管是将_二极管_与晶闸管_反并联_(如何连接)在同一管芯上的功率集成器件。的__多元集成__结构是为了便于实现门极控制关断而设计的。 的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的_截止区_、前者的饱和区对应后者的__放大区__、前者的非饱和区对应后者的_饱和区__。 14.电力MOSFET的通态电阻具有__正__温度系数。 的开启电压UGE(th)随温度升高而_略有下降__,开关速度__小于__电力MOSFET 。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为_电压驱动型_和_电流驱动型_两类。 的通态压降在1/2或1/3额定电流以下区段具有__负___温度系数,在1/2或1/3额定电流以上区段具有__正___温度系数。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力MOSFET)、绝缘栅双极型晶体管(IGBT)中,属

数字电子技术试卷试题答案汇总

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是(与运算、或运算、非运算) 2、逻辑代数中三个基本运算规则 (代入规则、反演规则、对偶规则) 3、逻辑函数的化简有 公式法 , 卡诺图 两种方法。 4、A+B+C= A ’B ’C ’ 。 5、TTL 与非门的u I ≤U OFF 时,与非门 关闭 ,输出 高电平 ,u I ≥U ON 时,与非门 导通 ,输出 低电平 。 6、组合逻辑电路没有 记忆 功能。 7、竞争冒险的判断方法 代数方法 , 卡诺图法 。 8、触发器它2 稳态,主从RS 触发器的特性方程Q ’’=S+RQ ’ SR=0 , 主从JK 触发器的特性方Q ’’=JQ ’+K ’Q ,D 触发器的特性方程 Q ’’=D 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( C ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( C ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A (A) 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( A ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说确的是 ( A ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说确的是 ( C ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( A ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制

电工电子技术期末考试试题及答案汇总

成绩统计表 专业班级____________ 考生姓名:____________ 学号_______ 请将选择题答案填入下表: 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的________b_决定。 A、相位 B、相序 C、频率 D、相位角3.电气控制线路原理图中,触头的位置是处于______a___。A、未通电状态B、通电状态C、根据情况确定状态4.为保证机床操作者的安全,机床照明灯的电压应选____d_____。 A、380V B、220V C、110V D、36V以下5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数 B.在感性负载上并联电容可以降低功率因数

C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。A.频率失真B、相位失真C、波形过零时出现的失真D、幅度失真 7.稳压管的动态电阻(b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 ∞ 9.单稳态触发器的输出状态有(a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。

A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2=6V,R1=12Ω,R2=6Ω,R3=2Ω,试用戴维宁定理求流过电阻R3中的电流I3。(10分) a I3 b 2.如图所示R-L串联电路,R=280Ω,R L=20Ω,L=1.65H,电源电压U=220V,电源频率为50H Z。(10分)

电子技术期末考试试卷及答案

2、射极输出器电路中,输出电压U o 与输入电压u i 之间的关系是( )。 (a ) 两者反相,输出电压大于输出电压 (b ) 两者同相,输出电压近似等于输入电压 (c ) 两者相位差90 ,且大小相等 3、为了放大变化缓慢的信号或直流信号,多级放大器级与级之间必须采 用( )。 (a ) 阻容耦合 (b ) 变压器耦合 (c ) 直接耦合 汁侶吗llTFF (咏宀、 方 亠z-r /咏宀\ 命题教帅(签字) 试做教师(签字) 系、室土任(签字) )匚记标修重 ................ 名姓 题号 -一- _ 二 _ 三 四 五 七 八 合计 满分 32 10 8 10 6 7六 10 12 丿八 12 100 实得分 评阅人 得分 、单项选择题:在下列各题中,将唯一正确的答案代码填 入括号内(本大题共16小题,总32分) 1、 电 路如图 所 示, 所有二极管 状 态为 ( )。 ⑻ D 1导 通,D 2、 D 3 截 止 (b) D 1、 D 2截止 D 3导通 (c) D 1、 D 3截止, D 2导 通 (d) D 1、 D 2、D 3均 截 止 均为理想元件,则D ,、D 2、D 3的工作 12V +6V J 1 ----------------- W D2 Ld D3 白R 0V D i

⑻L i、C i组成的电路 (b)L、C组成的电路 (c)L2、&组成的电路 +U cc 5、正弦波振荡器如图所示,为了获得频率可调的输出电压,则应该调节的电阻是()。 ⑻R i (b)R F(c)R U o 6、模拟电路中晶体管大多工作于()。 ⑻放大状态(b)开关状态(c)击穿状态 7、数字电路中的工作信号为()。 ⑻随时间连续变化的电信号(b)脉冲信号(c)直流信号 8、逻辑电路如图所示,分析图中C, J, K的波形。当初始状态为“ 0” 时,输出Q是“1”的瞬间为()。 ⑻t i (b) t2 (c) t3

数字电子技术基础—试题—解答

数字电子技术基础—试题—解答

三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 1、Y=A+B 2、用卡诺图法化简为最简或与式 Y= + C +A D, 约束条件:A C + A CD+AB=0 2、用卡诺图圈0的方法可得:Y=(+D)(A+ )(+ ) 四、分析下列电路。(每题6分,共12分) 1、写出如图4所示电路的真值表及最简逻辑表达式。 图 4 1、该电路为三变量判一致电路,当三个变量都相同时输出为1,否则输出为0。 2、写出如图5所示电路的最简逻辑表达式。 2、 B =1,Y = A , B =0 Y 呈高阻态。

五、判断如图 6所示电路的逻辑功能。若已知 u B =-20V,设二极管为理想二极管,试根据 u A 输入波形,画出 u 0 的输出波形(8分) t 图 6 五、 u 0 = u A · u B ,输出波形 u 0 如图 10所示: 图 10 六、用如图 7所示的8选1数据选择器CT74LS151实现下列函数。(8分) Y(A,B,C,D)=Σm(1,5,6,7,9,11,12,13,14) 图 7 答: 七、用 4位二进制计数集成芯片CT74LS161采用两种方法实现模值为10的计数器,要求画出接线图和全状态转换图。(CT74LS161如图8所示,其LD端为同步置数端,CR为异步复位端)。(10分) 图 8 七、接线如图 12所示:

三、将下列函数化简为最简与或表达式(本题 10分) 1. (代数法) 2、F 2 ( A,B,C,D)=∑m (0,1,2,4,5,9)+∑d (7,8,10,11,12,13)(卡诺图法) 三、 1. 2. 四、分析如图 16所示电路,写出其真值表和最简表达式。(10分) 四、 1. 2. , , , 五、试设计一个码检验电路,当输入的四位二进制数 A、B、C、D为8421BCD码时,输出Y为1,否则Y为0。(要求写出设计步骤并画电路图)(10分) 五、 六、分析如图17所示电路的功能,写出驱动方程、状态方程,写出状态表或状态转换图,说明电路的类型,并判别是同步还是异步电路?(10分) 六、同步六进制计数器,状态转换图见图 20。 图 20

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电工电子技术期末考试试卷及答案

《电工电子技术基础》期末考试试卷 (闭卷) 一、填空题(每空1分,共40分) 1、交流电的电流或电压在变化过程中的任一瞬间,都有确定的大小和方向,叫做交流电该时刻的瞬时值,分别用小写字母 i 、 u 表示。 2、数字电路中只有 0 和 1 两个数码。 3、三相电源的中线一般是接地的,所以中线又称__地___线。三相电源三相绕组的首端引出的三根导线叫做___相__线。 4、(1011)2 = ( 11 )10。 5、电容和电阻都是电路中的基本元件,但它们在电路中所起的作用却是不同的,从能量上看,电容是_储能____元件,电阻是__耗能____元件。 6、为了反映功率利用率把有功功率和视在功率的比值叫功率因数。 7、正弦交流电的三要素是振幅、频率和初相。 8、实际电压源总有内阻,因此实际电压源可以用电动势与电阻串联的组合模型来等效。 9、基本门电路有与门、或门和非门。 10、能够实现“有0出1,全1出0”逻辑关系的门电路是与非门。 11、能够实现“有1出0,全0出1”逻辑关系的门电路是或非门。 12、能够实现“相异出1,相同出0”逻辑关系的门电路是异或门。 13、在交流电中,电流、电压随时间按正弦规律变化的,称为正弦交流电。正弦交流电的三要素是指最大值、角频率、初相位。 14、工频电流的频率f= 50 Hz。 15、设u=311sin314t V,则此电压的最大值为 311V ,频率为 50HZ ,初相位为 0 。 16、在如图所示的电路,已知I1 = 1A,I2 = 3A ,I5 =4.5A,则I3 = 4 A,I4 = 0.5 A,则I6 = 3.5 A。

17、半导体三极管是由发射极、基极、集电极三个电 极,发射结、集电结两个PN结构成。 18、三极管按其内部结构分为 NPN 和 PNP 两种类型。 19、晶体三极管作共射组态时,其输入特性与二极管类似,但其输出特性 较为复杂,可分为放大区外,还有截止区和饱和区。 20、二极管具有单相导电性特性。 二、单项选择题(每小题2分,共10分) 1、如图所示电路中,电压表的内阻Rv为20KΩ,则电压表的指示为( B )。 20KΩ 20KΩ A.5V B.10V C.15V D.30V 2、在三相交流电路中,当负载为对称且三角型连接时,线电流与相电流的 相位关系是( D )。 A. 线电压超前相电压300 B. 线电压滞后相电压300 C. 线电流超前相电流300 D. 线电流滞后相电流300 3、叠加定理可以用在线性电路中不能叠加的是( C )。 A、电压 B、电流 C、功率 D、电动势 4、如图所示电路中,若电阻从2Ω变到10Ω,则电流i( C )。 R i s A.变大 B. 变小 C.不变 D.不确定 5、如图所示电路,电路的电流I=( A )。

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1 ,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

电工电子技术期末考试试题及答案

专业班级____________ 考生姓名:____________ 学号_______ 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的 ________b_决定。 A、相位 B、相序 C、频率 D、相位角 3.电气控制线路原理图中,触头的位置是处于______a___。 A、未通电状态 B、通电状态 C、根据情况确定状 态 4.为保证机床操作者的安全,机床照明灯的电压应选 ____d_____。 A、380V B、220V C、110V D、36V以下 5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数

B.在感性负载上并联电容可以降低功率因数 C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。 A.频率失真 B、相位失真 C、波形过零时出现的失真 D、幅度失真 7.稳压管的动态电阻( b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 -+∞ + u O u i 9.单稳态触发器的输出状态有( a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。 A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2 =6V,R 1 =12Ω,R 2 =6 Ω,R 3=2Ω,试用戴维宁定理求流过电阻R 3 中的电流I 3 。(10分) a I

数字电子技术试题及答案解析

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示?( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) A.T S L 门 B.O C 门 C. 漏极开路门 D.C M O S 与非门 3.以下表达式中符合逻辑运算法则的是( D ) A.C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) A.2 B.3 C.4 D.8 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) A.1 B.2 C.4 D.16 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器?( B ) A.N -1 B.N C.N +1 D.2N 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B ) A.J =A B ,K =B A B.J =A B ,K =B A C.J =B A +,K =A B D.J =B A ,K =A B 12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C ) A.4 B.10 C.1024 D.100 13.要构成容量为4K ×8的RAM ,需要多少片容量为256×4的RAM ?( D ) A.2 B.4 C.8 D.32 14.随机存取存储器R A M 中的内容,当电源断掉后又接通,则存储器中的内容将如何变换?( C ) A.全部改变 B.全部为1 C.不确定 D.保持不变 15.用555定时器构成单稳态触发器,其输出的脉宽为( B ) A.0.7RC ; B.1.1RC ; C.1.4RC ; D.1.8RC ;

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

电力电子技术期末考试试题及答案最新版本

电力电子技术试题
第 1 章 电力电子器件 1.电力电子器件一般工作在__开关__状态。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力 MOSFET)、绝缘 栅双极型晶体管(IGBT)中,属于不可控器件的是_电力二极管__,属于半控型器件的是__晶闸管_,属于全控型器件的是_ GTO 、GTR 、电力 MOSFET 、 IGBT _;属于单极型电力电子器件的有_电力 MOSFET _,属于双极型器件的有_电力二极管、晶闸管、GTO 、GTR _,属于复合型电力电子器件得有 __ IGBT _;在可控的器件中,容量最大的是_晶闸管_,工作频率最高的是_电力 MOSFET,属于电压驱动的是电力 MOSFET 、IGBT _,属于电流驱动的是_晶闸管、
GTO 、GTR _。2、可关断晶闸管的图形符号是 ;电力场效应晶体管的图形符号是
绝缘栅双极晶体管的图形符号是
;电力晶体管的图形符号是

第 2 章 整流电路 1.电阻负载的特点是_电压和电流成正比且波形相同_,在单相半波可控整流电阻性负载电路中,晶闸管控制角 α 的最大移相范围是_0-180O_。 2.阻感负载的特点是_流过电感的电流不能突变,在单相半波可控整流带阻感负载并联续流二极管的电路中,晶闸管控制角 α 的最大移相范围是__0-180O
_ ,其承受的最大正反向电压均为_ 2U2 __,续流二极管承受的最大反向电压为__ 2U2 _(设 U2 为相电压有效值)。
3.单相桥式全控整流电路中,带纯电阻负载时,α 角移相范围为__0-180O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 2 和_ 2U2 ;
带阻感负载时,α 角移相范围为_0-90O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 _和__ 2U2 _;带反电动势负载时,欲使电阻上的电
流不出现断续现象,可在主电路中直流输出侧串联一个_平波电抗器_。
5.电阻性负载三相半波可控整流电路中,晶闸管所承受的最大正向电压 UFm 等于__ 2U2 _,晶闸管控制角 α 的最大移相范围是_0-150o_,使负载电流连
续的条件为__ 30o __(U2 为相电压有效值)。
6.三相半波可控整流电路中的三个晶闸管的触发脉冲相位按相序依次互差_120o _,当它带阻感负载时, 的移相范围为__0-90o _。 7.三相桥式全控整流电路带电阻负载工作中,共阴极组中处于通态的晶闸管对应的是_最高__的相电压,而共阳极组中处于导通的晶闸管对应的是_最低_
的相电压;这种电路
角的移相范围是_0-120o _,ud 波形连续的条件是_ 60o _。
8.对于三相半波可控整流电路,换相重迭角的影响,将使用输出电压平均值__下降_。
11.实际工作中,整流电路输出的电压是周期性的非正弦函数,当
从 0°~90°变化时,整流输出的电压 ud 的谐波幅值随
的增大而 _增大_,

从 90°~180°变化时,整流输出的电压 ud 的谐波幅值随
的增大而_减小_。
12. 逆 变 电 路 中 , 当 交 流 侧 和 电 网 连 结 时 , 这 种 电 路 称 为 _ 有 源 逆 变 _ , 欲 实 现 有 源 逆 变 , 只 能 采 用 __ 全 控 _ 电 路 ; 对 于 单 相 全 波 电 路 , 当 控制 角
0<
<
时,电路工作在__整流_状态;
时,电路工作在__逆变_状态。
13.在整流电路中,能够实现有源逆变的有_单相全波_、_三相桥式整流电路_等(可控整流电路均可),其工作在有源逆变状态的条件是_有直流电动势,
其极性和晶闸管导通方向一致,其值大于变流器直流侧平均电压_和__晶闸管的控制角 a > 90O,使输出平均电压 Ud 为负值_。 第 3 章 直流斩波电路
1.直流斩波电路完成得是直流到_直流_的变换。
2.直流斩波电路中最基本的两种电路是_降压斩波电路 和_升压斩波电路_。
3.斩波电路有三种控制方式:_脉冲宽度调制(PWM)_、_频率调制_和_(ton 和 T 都可调,改变占空比)混合型。
6.CuK 斩波电路电压的输入输出关系相同的有__升压斩波电路___、__Sepic 斩波电路_和__Zeta 斩波电路__。
7.Sepic 斩波电路和 Zeta 斩波电路具有相同的输入输出关系,所不同的是:_ Sepic 斩波电路_的电源电流和负载电流均连续,_ Zeta 斩波电路_的输入、输
出电流均是断续的,但两种电路输出的电压都为__正_极性的 。
8.斩波电路用于拖动直流电动机时,降压斩波电路能使电动机工作于第__1__象限,升压斩波电路能使电动机工作于第__2__象限,_电流可逆斩波电路能
编辑版 word

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

相关主题
文本预览
相关文档 最新文档