当前位置:文档之家› 怎样使用Debussy+ModelSim快速查看前仿真波形

怎样使用Debussy+ModelSim快速查看前仿真波形

怎样使用Debussy+ModelSim快速查看前仿真波形

作者:未知 时间:2010-06-18 08:22:23 来自:网络转载

引子:ModelSim是HDL仿真软件,Debussy是波形查看软件;搭配使用,相当爽。此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此。两款软件的功能都很强大,请自行研究。

注:本篇博文的软件环境为:Debussy 5.3v9 + Modelsim SE 6.5

配置篇

1 安装、和谐软件。略。

2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32。

3 取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。

找到

view source

print?

1; Veriuser = veriuser.sl

替换为

view source

print?

1Veriuser = novas.dll

保存;关闭;设为只读。

配置完,以后就一劳永逸了。

实战篇

此处以一简单分频器为例。文件地图如下:

view source

print?

1│ rtl.f

2│ run.bat

3│ sim.do

4│

5└─rtl

6 clk_rst.v

7 divider.v

8 divider_tb.v

1 编写欲仿真的HDL文件:RTL级代码+Testbench代码。保存在文件夹rtl中。

(1)divider.v // RTL级代码模块

view source

print?

01`timescale1ns/10ps

02

03module divider(

05 input i_rst_n,

06

07 output o_clk

08);

09

10parameter U_DLY = 1;

11

12// log2(5) = 2.3219 <= 3

13reg[2:0] cnt_p; // 上升沿计数子14

15// 5位上升沿计数器: 0 ~ 4

16// 4 = 5 - 1

17always@ (posedge i_clk, negedge i_rst_n)

18begin

19 if(!i_rst_n)

20 cnt_p <= 0;

21 else

22 begin

23 if(cnt_p == 4)

24 cnt_p <= 0;

25 else

26 cnt_p <= #U_DLY cnt_p + 1'b1;

27 end

28end

29

30// log2(5) = 2.3219 <= 3

31reg[2:0] cnt_n; // 下降沿计数子32

33// 5位下降沿计数器: 0 ~ 4

34// 4 = 5 - 1

35always@ (negedge i_clk, negedge i_rst_n)

36begin

37 if(!i_rst_n)

39 else

40 begin

41 if(cnt_n == 4)

42 cnt_n <= 0;

43 else

44 cnt_n <= #U_DLY cnt_n + 1'b1;

45 end

46end

47

48

49reg o_clk_p; // 上升沿时钟输出寄存器 50

51// 输出上升沿时钟

52// 0 ~ 2 ↑-> 1

53// (2+1) ~ 4 ↑-> 0

54// 2 = 5>>1

55// 4 = 5 - 1

56always@ (posedge i_clk, negedge i_rst_n)

57begin

58 if(!i_rst_n)

59 o_clk_p <= 0;

60 else

61 begin

62 if(cnt_p <= 2) // 2 = 5>>1

63 o_clk_p <= 1;

64 else

65 o_clk_p <= 0;

66 end

67end

68

69reg o_clk_n; // 下降沿时钟输出寄存器 70

71// 输出下降沿时钟

73// (2+1) ~ 4 ↓-> 0

74// 2 = 5>>1

75// 4 = 5 - 1

76always@ (negedge i_clk, negedge i_rst_n)

77begin

78 if(!i_rst_n)

79 o_clk_n <= 0;

80 else

81 begin

82 if(cnt_n <= 2) // 2 = 5>>1

83 o_clk_n <= 1;

84 else

85 o_clk_n <= 0;

86 end

87end

88

89assign o_clk = o_clk_n & o_clk_p; // 按位与(作用:掩码) 90

91endmodule

(2)clk_rst.v // Testbench的时钟及复位模块

view source

print?

01`timescale1ns/10ps

02

03module clk_rst(

04 output reg i_clk,

05 output reg i_rst_n

06);

07

08parameter CLK_PERIOD = 20;

09parameter MULT_RATIO = 10;

10parameter RESET_TIME = MULT_RATIO * CLK_PERIOD + 1;

11

12initial

13begin

14 i_rst_n <= 1'b0;

15 #RESET_TIME i_rst_n <= 1'b1;

16end

17

18initial

19begin

20 i_clk <= 1'b0;

21 forever

22 #(CLK_PERIOD / 2) i_clk <= ~i_clk;

23end

24

25endmodule

把时钟及复位单独剥出来,便于移植到其他平台。

(3)divider_tb.v // Testbench顶层例化模块view source

print?

01`timescale1ns/10ps

02

03module divider_tb();

04

05wire i_clk;

06wire i_rst_n;

07wire o_clk;

08

09// genrate clock

10clk_rst clk_rst_inst(

11 .i_clk(i_clk),

12 .i_rst_n(i_rst_n)

13);

14

15// user logic

16divider divider_inst(

17 .i_clk(i_clk),

18 .i_rst_n(i_rst_n),

19 .o_clk(o_clk)

20);

21

22// dump fsdb file for debussy 23initial

24begin

25 $fsdbDumpfile("wave.fsdb");

26 $fsdbDumpvars;

27end

28

29endmodule

注意第22行到第27行

view source

print?

这段语句的意思,就是调用ModelSim生成波形文件,并保存为wave.fsdb,供Debussy查看。

2 编写HDL文件列表文件,供ModelSim和

Debussy使用。

rtl.f view source print?

3 编写ModelSim命令行脚本文件。

sim.do

view source

print?

1// dump fsdb file for debussy

2initial

3begin

4 $fsdbDumpfile("wave.fsdb");

5 $fsdbDumpvars;

6end

1rtl/divider.v

2rtl/clk_rst.v

3rtl/divider_tb.v

1vlib work

2vlog -f rtl.f

3vsim work.divider_tb

4run 10us

5q

4 编写批处理脚步文件,调用命令行ModelSim生成波形文件,再调用Debusyy查看。

run.bat

view source

print?

01::关闭回显

02@ECHO OFF

03::设置软件路径

04SET debussy=C:\Novas\Debussy\bin\Debussy.exe

05SET vsim=C:\modeltech_6.5\win32\vsim.exe

06::ModelSim Command

07%vsim% -c -do sim.do

08::删除ModelSim生成的相关文件

09RD work /s /q

10DEL transcript vsim.wlf /q

11::Debussy Command

12%debussy% -f rtl.f -ssf wave.fsdb -2001

13::删除波形文件

14DEL wave.fsdb /q

15::删除Debussy生成的相关文件

16RD Debussy.exeLog /s /q

17DEL debussy.rc /q

18::退出命令行

19EXIT

注意:请适当修改相关路径,本处仅以我个人的配置为例。

5 双击运行run.bat

显示命令行画面

图1 运行run.bat画面

弹出Debussy及Debussy波形查看组件。

图2 Debussy及Debussy波形查看组件

(6)添加欲观察信号。

单击 或Signal-Get Signals?添加信号;或者偷懒一点,单击Signal-Get All Signals 。此处我就一懒到

底,就添加全部信号吧。

弹出警告,告知我们添加所有信号需要花费一段时间,是否要确认。确认OK 。

图3 警告

看,波形出来了。

我CALL ,波形在哪里。小样竟敢唬我。

呵呵,别着急,慢慢来。

图4 devider前仿真波形

结语

正如引子所言,ModelSim的功能太强大了,于是就有点繁琐。和Debussy协同仿真,我们就省却了许多不必要,何乐而不为呢。当然,还有许多的功能,由于水平有限,就写到这里吧。

参考

1 袁秋春.用modelsim和debussy协同仿真VHDL Verilog的流程

2 cmf3887299.debussy+modelsim的仿真流程

电路仿真软件的使用方法

电路仿真软件的使用方法

河南机电高等专科学校软件实习报告 系部:电子通信工程系 专业:应用电子技术 班级:应电111 学生姓名: xxx 学号: xxxxxxxx

201x年xx月xx日 实习任务书 1.时间:201x年xx月xx日~201x年xx月xx日 2. 实训单位:河南机电高等专科学校 3. 实训目的:学习电路仿真软件的使用方法 4. 实训任务: ①了解电路仿真与EDA技术的基础常识; ②了解电路仿真软件的作用及其特点; ③了解软件仿真结果与实际电路结果的异同; ④熟悉电路仿真软件的界面,能熟练的在电路仿真软件环境中绘制电路图; ⑤能够使用电路仿真软件的各种分析功能对电路进行软件仿真; ⑥会使用电路仿真软件中的虚拟仪器对电路进行数据和波形等的测量; ⑦作好实习笔记,对自己所发现的疑难问题及时请教解决; ⑧联系自己专业知识,体会本软件的具体应用,总结自己的心得体会; ⑨参考相关的的书籍、资料,认真完成实训报告。

软件实习报告 前言:经过半学期深入地学习基础电路知识,我们终于有机会学习电路仿真用软件设计并检验电路,深入的理解电路定理,增加我们对专业的兴趣,增强我们的实际动手操作能力。 实习报告: 实验一、戴维南定理和诺顿定理的研究 一、实验目的 1、求出一个已知网络的戴维南等效电路。 2、求出一个已知网络的诺顿等效电路。 3、验证戴维南定理和诺顿定理的正确性。

二、实验器材 直流电压源 1个 电压表 1个 电流表 1个 电阻 3个 万用表 1个 三、实验原理及实验电路 任何一个具有固定电阻和电源的线性二端网络,都可以用一个串联电阻的等效电压源来代替,这个等效电压源的电压等于原网络开路时的端电压U oc ,或用一个并联电阻的等效电流源来代替,这个等效电压源的电压等于原网络开路时的端电压I sc 。下图电路中负载为RL ,试用EWB 仿真测得到除去负载后的二端网络的开路电压、短路电流以及等效电阻大小。 0.5Ω RL=0.25Ω

实验一proteus仿真软件使用方法

实验一 Proteus仿真软件使用方法 一.实验目的: (1)了解Proteus仿真软件的使用方法。 (2)了解51单片机编程器Keil与Proteus仿真软件的联用方法。 二.实验要求: 通过讲授和操作练习,学会正确使用Proteus仿真软件及Keil编程及其联合调试。 三.实验内容: (1)Proteus 仿真软件介绍 Proteus 软件是由英国LabCenter Electronics 公司开发的EDA工具软件,由ISIS 和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑软件。它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。 通过Proteus ISIS软件的VSM(虚拟仿真技术), 用户可以对模拟电路、数字电路、模数混合电路,以及基于微控制器的系统连同所有外围接口电子元器件一起仿真。 图1是Proteus ISIS的编辑窗口: 图1 ISIS的编辑界面 图中最顶端一栏是“标题栏”,其下的“File View Edit ……”是“菜单栏”,再下面的一栏是“命令工具栏”,最左边的一栏是“模式选择工具栏”;左上角的小方框是“预览窗口”,其下的长方框是“对象选择窗口”,其右侧的大方框是“原理图编辑窗口”。 选择左侧“模式选择工具栏”中的图标,并选择“对象选择窗口”中的P按钮,就会出现如图2的元器件选择界面:

图2 元器件库选择界面 在元器件列表框中点击你需要的器件类型(例如:电阻-Resistors,单片机芯片-MicroprocessorICs, LED-Optoelectronics)或在左上角的关键字(Keywords)框中输入你需要的器件名称的关键字(如:信号源 - Clock, 运放 - CA3140等),就会在图2中间的大空白框列出你所需的一系列相关的元件。此时,你可用鼠标选中你要的元件,则图2右上角的预览框会显示你所要元件的示意图,若就是你要的元器件,则点击OK按钮,该元器件的名称就会列入位于图1左侧的“对象选择窗口”中(参见图1左侧下方框)。 所需元器件选择好后,在“对象选择窗口”选择某器件,就可以将它放到图1中的“原理图编辑窗口”中(若器件的方向不合适,你可以利用图1左下角的旋转按钮来改变它)。将所要的元器件都选好后,将它们安放到合适的位置,就可以用连接线把电路连接好,结果存盘(请按规定的目录存盘,并记住其路径/目录/文件名[学号-实验序号])。 (2)51单片机编程器– Keil V3的使用 Keil编程器可用于MCS-51单片机软件编程与调试,它的工作界面如图3所示: Keil编程器是Keil Software Inc/Keil Electronic GmbH 开发的基于80C51内核的微处理器软件开发平台,可以完成从工程(Project)的建立和管理、程序的编译和连接、目标代码的形成、软件仿真等一套完整的软件开发流程。它与Proteus挂接,可以进行单片机应用系统的硬件仿真。 汇编语言编程方法: ①打开“File”菜单→选择新建“New...”→在弹出的文本框(Text1)中编写所需的汇编语言程序→程序写好后,保存(从File→Save As..→选择某目录,文件名.ASM, 存盘); ②打开“Project”菜单→选择新建工程“New Project...”→在弹出的窗口填写:工程名→保存(文件名的后缀是 .uv2 。此时图3的工程窗口中将建立Target1

Quartus ii 10.0教程(包含modelsim仿真)

Quartus ii 10.0教程 说明 本文的部分章节,来源于本人翻译的Terasic DE2-115的英文入门文档。 平台 硬件:艾米电子EP2C8-2010增强版套件 软件:Quartus II 10.0 + ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 ?典型的CAD流程 ?开始 ?新建工程 ?录入Verilog设计 ?编译设计 ?引脚分配 ?仿真设计电路 ?编程及配置到FPGA器件 ?测试设计电路 典型的CAD流程 计算机辅助设计(CAD)软件,使得运用可编程逻辑器件实现所需逻辑电路,变得容易。比如现场可编程门阵列(FPGA)。典型的FPGA CAD设计流程如图1所示。

图1 典型的FPGA CAD设计流程 CAD流程包含以下步骤: ?设计输入——所需电路可通过原理图方式或硬件描述语言方式(如Verilog或VHDL)进行设计。 ?综合——输入的设计被综合进入由逻辑元素(LEs,FPGA芯片提供)组成的电路中。 ?功能仿真——综合电路被测试以验证其功能是否正确,次仿真不考虑时序因素。 ?布局布线——CAD Fitter工具决定网表中定义的LEs如何布置成FPGA芯片中的实际LEs。 ?时序分析——分析已布局布线电路中的不同路径的传播延迟,用以指示所需电路的性能。 ?时序仿真——测试已布局布线电路,验证其是否在功能和时序上都正确。 ?编程及配置——设计的电路,通过编程配置开关,被实现到一个物理的FPGA芯片。 配置开关用于配置LEs和建立所需线路连接。 本指南介绍Quartus II软件的基本特征。展示如何使用Verilog硬件描述语言来设计和实现电路。使用GUI来实现Quartus II指令。通过本份指南,读者将学习到: ?新建工程 ?使用Verilog代码录入设计 ?将综合的电路布局到Altera FPGA ?分配电路的输入输出到FPGA上的指定引脚 ?仿真设计电路 ?编程配置艾米电子EP2C8核心板上的FPGA芯片 1. 开始 在Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。软件每次运行一个工程,并将所有信息保存在单一文件夹中。欲开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件。为了保存本指南的设计文件,在D盘新建introtutorial文件夹。指南者运行的范例为一个简单的双路灯控电路。 打开Quartus II软件,将看到类似于图2的画面。该显示画面包括若干窗口,用户可使用鼠标选择,以访问Quartus II软件的相关功能。Quartus II提供的大多数命令都可用菜单形式来访问。例如,在图2中,在File标签下点击左键,可打开如图3所示的菜单。用左键单击Exit可退出Quartus II软件。

斯沃数控机床调试与维修仿真软件说明书

共享知识分享快乐 南京斯沃 斯沃数控机床调试与维修仿真 软件说明书 南京斯沃软件技术有限公司 2009/07版本

前言 南京斯沃软件技术有限公司是一支专业从事可视化软件开发的队伍。主要提供CAD/CAM、数控仿真的推广和应用。面向企业的新产品开发和创新设计,提供贴近用户个性化需求的产品整体设计、技术咨询。根据客户要求进行专业CAD/CAM的软件开发,以及数控系统、面板仿真的开发,缩短新产品研发周期,降低改型设计开发成本,提高产品设计质量。 随着数控机床的广泛使用,数控机床维修技术人才的需求已迫在眉睫,庞大的市场需求与掌握专业技能人才的奇缺使得数控维修工程师更是“一将难求”。南京斯沃软件技术有限公司为配合学校培养该专业人才,开发出数控机床调试与维修仿真软件(以下简称维修仿真软件)。该软件是以数控机床电气及多年从事数控维修教学教授、专家的教学经验,利用计算机三维虚拟现实技术、将数控机床结构、电气元器件布局调试以及故障排查过程等通过微机活灵活现地显示出来。数控维修软件适合本科、高职、高专、技校等不同层次人才培养的需求,适用于数控技术、机电一体化、数控设备与维修、自动控制、工业自动化等相关专业,是国内第一款专业化程度非常高的维修仿真软件。 斯沃维修仿真软件直观、安全、易学易用、上手快、经济性好。通过本软件可以学到数控机床的电气安装、数控系统参数调试、交流

伺服参数调试、变频器参数调试、数控机床故障诊断与维修技术以及PLC编程等专业技术。同时本软件可以丰富教师的教学手段、提高学生的学习兴趣,增强学生的实际动手能力,无疑是投资少、见效快的必选软件。 南京斯沃软件技术有限公司 2009年7月

ModelSim作布局布线后仿真的库问题

ModelSim作布局布线后仿真的库问题 Modelsim是目前最流行的应用最广泛的FPGA仿真器,是Mentor Graphics的子公司Model T echnology开发的。因为Modelsim好学易用,调试方便,仿真速度快,功能强大,所以很多芯片厂商的开发系统都OEM Mentor Graphics公司的Modelsim仿真器,包括Xilinx,Alter a,Lattice和Actel等。Modelsim是一个单内核仿真器,同一个内核可以进行VHDL仿真、V erilog仿真和VHDL/Verilog混合仿真;支持所有的VHDL和Verilog标准;采用直接编译技术 (Direct-Compiled),大大提高了HDL编译和仿真速度。 Modelsim支持三个层次的仿真:RTL仿真、综合后仿真和布局布线后仿真。为了加快仿真速 度,一般情况下设计中调用的库都是已经进行编译过的,然后对设计进行仿真,此时仿真器直接调用库中已经编译过的单元,而不是再次对设计中的单元模块进行编译。所以如果要对设计进行综合后仿真和布局布线后仿真,必须先对设计中调用的库进行编译处理。这也是本文的重点内容。因为每个厂商的库不一样,而且同一个厂商,不同的器件库就有可能不同,所以下面就目前国内比较常用的几个公司的芯片的库问题分别进行探讨。1.Xilinx公司的器件: 其库的处理方式有两种,一种是在Xilinx的网站上,我们可以下载到压缩文件xilinx_lib _4.zip,解压缩后有一个xilinx_lib_4.tcl(将来的版本可能会升级)。单独运行Models im,然后在工具菜单中有一项是执行宏(这里Modelsim5.5 和5.6、5.7有一些差异,不过在5.5中仍可以找到执行宏Execute Macro),运行xilinx_lib_4.tcl后可以看到。 你可以根据你的厂商软件版本选择,指定Xilinx 的安装路径,在Install Path中指定你编 译后的数据将要放的目录位置(可以是任何一个目录,最好是设计者数据盘中的某个目录,因为库编译一次就可以了,重新安装软件不需要重新编译库)。对于Xilinx作布局布线后仿真只需要simprim库即可;如果要作综合后仿真,就需要编译Unisim库;如果设计中调用了 CoreGen产生的核就需要编译CoreGen库。这应该根据设计者的具体情况进行选择。 如果编译完了后,在Modelsim中库会自动变为标准库(注意:Xilinx提供的早期脚本文件作不到这一点)。所谓标准库,也就是说这个库会和IEEE这些库一样,当Modelsim启动时,这 些库会自动加载,里面的单元在VHDL代码中可以随意调用。比如:在布局布线后的VHDL 文 件中大家可以看到Library simprim这样的语句。当然也可以自己手动改变这些库的性质,只用修改在Modelsim安装路径下的Modelsim.ini文件即可。比如:修改后的Modelsim.ini 可以看到为 [Library] std = $MODEL_TECH/../std ieee = $MODEL_TECH/../ieee verilog = $MODEL_TECH/../verilog std_developerskit = $MODEL_TECH/../std_developerskit synopsys = $MODEL_TECH/../synopsys modelsim_lib = $MODEL_TECH/../modelsim_lib

各种电路仿真软件的分析与比较

一.当今流行的电路仿真软件及其特性 电路仿真属于电子设计自动化(EDA)的组成部分。一般把电路仿真分为三个层次:物理级、电路级和系统级。教学中重点运用的为电路级仿真。 电路级仿真分析由元器件构成的电路性能,包括数字电路的逻辑仿真和模拟电路的交直流分析、瞬态分析等。电路级仿真必须有元器件模型库的支持,仿真信号和波形输出代替了实际电路调试中的信号源和示波器。电路仿真主要是检验设计方案在功能方面的正确性。电路仿真技术使设计人员在实际电子系统产生之前,就有可能全面地了解电路的各种特性。目前比较流行的电路仿真软件大体上说有:ORCAD、Protel、Multisim、TINA、ICAP/4、Circuitmaker、Micro-CAP 和Edison等一系列仿真软件。 电路仿真软件的基本特点: ●仿真项目的数量和性能: 仿真项目的多少是电路仿真软件的主要指标。各种电路仿真软件都有的基本功能是:静态工作点分析、瞬态分析、直流扫描和交流小信号分析等4项;可能有的分析是:傅里叶分析、参数分析、温度分析、蒙特卡罗分析、噪声分析、传输函数、直流和交流灵敏度分析、失真度分析、极点和零点分析等。仿真软件如SIMextrix只有6项仿真功能,而Tina6.0有20项,Protel、ORCAD、P-CAD等软件的仿真功能在10项左右。专业化的电路仿真软件有更多的仿真功能。对电子设计和教学的各种需求考虑的比较周到。例如TINA的符号分析、Pspice和ICAP/4的元件参数变量和最优化分析、Multisim的网络分析、CircuitMaker的错误设置等都是比较有特色的功能。 Pspice语言擅长于分析模拟电路,对数字电路的处理不是很有效。对于纯数字电路的分析和仿真,最好采用基于VHDL等硬件描述语言的仿真软件,例如,Altera公司的可编程逻辑器件开发软件MAX+plusII等。 ●仿真元器件的数量和精度: 元件库中仿真元件的数量和精度决定了仿真的适用性和精确度。电路仿真软件的元件库有数千个到1--2万个不等的仿真元件,但软件内含的元件模型总是落后于实际元器件的生产与应用。因此,除了软件本身的器件库之外,器件制造商的网站是元器件模型的重要来源。大量的网络信息也能提供有用的仿真模型。设计者如果对仿真元件模型有比较深入的研究,可根据最新器件的外部特性参数自定义元件模型,构建自己的元件库。对于教学工作者来说,软件内的元件模型库,基本上可以满足常规教学需要,主要问题在于国产元器件与国外元器件的替代,并建立教学中常用的国产元器件库。 电路仿真软件的元件分类方式有两种:按元器件类型如电源、二极管、74系列等分成若干个大类;或按元器件制造商分类,大多数仿真软件有电路图形符号的预览,便于选取使用。

单片机开发与仿真软件Keil C51的使用

单片机开发与仿真软件Keil C51的使用 一、Keil C51 操作入门 1.1 Keil C51 简介 Keil C51 是德国知名软件公司Keil(现已并入ARM 公司)开发的基于8051 内核的微控制器软件开发平台,是目前开发8051 内核单片机的主流工具。Keil 51支持汇编语言、C语言等各种开发语言。其中,uVision2集成开发环境包含项目管理、源代码编辑和强大的程序调试环境。uVision2调试器是一个强大的全特性调试器,允许用户在PC机上完全模拟目标程序、指令集和片内外围功能。 实验所用的是Keil C51 V7.09评估版。 1.2 Keil C51 的启动 双击桌面上的“Keil uVision2”图标,启动Keil C51程序,启动界面如图1所示。 图1 Keil C51的启动界面 1.3 建立第1 个Keil C51 程序 Keil C51 是一个功能很强大的软件,但是使用起来并不复杂。现在就通过建立一个简单的LED(发光二极管)闪烁发光的实例来初步掌握Keil C51的基本用法。硬件电路参见图2,单片机I/O 输出低电平可点亮LED。 图2 LED 闪烁发光电路 ●新建工程。执行Keil C51 软件的菜单“Project | N ew Project…”,弹出一个名为“Create

New Project”的对话框。先选择一个合适的文件夹准备来存放工程文件,比如“E:\Project\LedFlash”,其中“LedFlash”是新建的文件夹。建议:今后每新建一个工程都要在适当的磁盘位置新建一个文件夹用来保存工程文件,以方便管理,并养成良好的习惯。最后,为工程取名为“LedFlash”,并保存。参见图3。 图3 新建Keil C51 工程 ●选择CPU。紧接着,Keil C51 提示选择CPU 器件。8051 内核单片机最早是由鼎鼎 大名的Intel 公司发明的,后来其他厂商如Philips 、Atmel 、Winbond 等先后推出其兼容产品,并在8051 的基础上扩展了许多增强功能。在这里可以选择Philips 的第1 个器件“80/87C51”,该器件与Intel 的8051 完全兼容。参见图4 。 图4 选择CPU ●接下来弹出一个如图5 所示的对话框。该对话框提示是否要把标准8051 的启动代

modelsim完美教程

准备事项 1.ModelSim试用版下载 2.范例程序下载(史丹佛大学一门课的期末专题Implememtation of Viterbi Decoder:constrain length K=3, code rate R=1/2, register-exchange) 整个project共含7个Verilog程序:system.v (top-level) |-- clkgen.v |-- chip_core.v |-- controller.v |-- spu.v |-- acs4.v |-- acs1.v (或是另外一个Verilog的简单例子,可以从C:\ SynaptiCAD\ Examples\ TutorialFiles\ VeriLoggerBasicVerilo gSimulation\ add4.v and add4test.v) (或是另外一个VHDL的简单例子,可以从C:\ Modeltech_5.7e\ examples\ adder.vhd and testadder.vhd) ModelSim PE /LE /SE 差别在哪? 本篇文章内容主要在教导软件使用,以Verilog程序为范例。假设各位读者已经熟悉Verilog,废话不多说,让我们马上来见识一下ModelSim ... 快速上手四部曲:建立Project、引进HDL Files、Compile、模拟(Simulate/Loading and Run) 1.建立一个新的Project 1-1 第一次执行程序时,可以从[开始] \ [程序集] \ ModelSim SE \ ModelSim;或是执行ModelSim在桌面的快捷方式

斯沃数控机床调试与维修仿真软件说明书

斯沃数控机床调试与维修仿真软件说明书

南京斯沃 斯沃数控机床调试与维修仿真软件说明书

操作编程软件斯沃数控仿真软件概述 南京斯沃软件技术有限公司 2009/07版本

前言 南京斯沃软件技术有限公司是一支专业从事可视化软件开发的队伍。主要提供CAD/CAM、数控仿真的推广和应用。面向企业的新产品开发和创新设计,提供贴近用户个性化需求的产品整体设计、技术咨询。根据客户要求进行专业CAD/CAM的软件开发,以及数控系统、面板仿真的开发,缩短新产品研发周期,降低改型设计开发成本,提高产品设计质量。 随着数控机床的广泛使用,数控机床维修技术人才的需求已迫在眉睫,庞大的市场需求与掌握专业技能人才的奇缺使得数控维修工程师更是“一将难求”。南京斯沃软件技术有限公司为配合学校培养该专业人才,开发出数控机床调试与维修仿真软件(以下简称维修仿真软件)。该软件是以数控机床电气及多年从事数控维修教学教授、专家的教学经验,利用计算机三维虚拟现实技术、将数控机床结构、电气元器件布局调试以及故障排查过程等通过微机活灵活现地显示出来。数控维修软件适合本科、高职、高专、技校等不同层次人才培养的需求,适用于数控技术、机电一体化、数控设备与维修、自动控制、工业自动化等相关专业,是国内第一款专业化程度非常高的维修仿真软件。 斯沃维修仿真软件直观、安全、易学易用、上手快、经济性好。通过本软件可以学到数控机床的电气安装、数控系统参数调试、交流

伺服参数调试、变频器参数调试、数控机床故障诊断与维修技术以及PLC编程等专业技术。同时本软件可以丰富教师的教学手段、提高学生的学习兴趣,增强学生的实际动手能力,无疑是投资少、见效快的必选软件。 南京斯沃软件技术有限公司 2009年7月

modelsim仿真详细过程

由于我们只需要了解仿真的完整过程,所以不需要自己写源文件和测试文件(也称为testbench)。一下就是简单的源文件和测试文件(亲自测试过)。 //源文件 module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule //测试文件 `timescale 1ns/1ns `include"./compare.v" module comparetest; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1;

#100 a=1;b=0; #100 $stop; end compare compare1(.equal(equal),.a(a),.b(b)); endmodule 有了源文件和测试文件下面就开始用modelsim进行仿真了。 步骤一:新建工程和.v文件(也就是源文件和测试文件) 打开modelsim软件,点击file,选择new—>project 然后就会弹出下面窗口: 然后在project name那一栏写上工程名(随便去,一般是字母),在project location选择工程路径(路径最好没有中文,听说的),然后点OK。进入下个界面:

然后点击小框里面的“create new file”.弹出界面: 在file name中写下源文件名,由于这是比较两数的大小,我取为:compare。在“add file as type”中选择verilog,点OK,然后有:

ModelSim-Altera_6.5仿真入门教程

平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面 1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2.2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。 图2.4 Project标签

3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项: ?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。 图2.6 创建工程文件夹 2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

ModelSim软件仿真步骤教程

使用ModelSim模擬驗證HDL code 1.在模擬前先準備好我們要模擬的電路檔案(Verilog HDL,TestBench,…) 2. 打開ModelSim,新建一個Project,鍵入Project name 按OK。此處我們的library name 為default library name “work”不必更改。 3.然後再加入我們所要模擬的電路檔案(若尚未準備,可開啟新檔案再將code 鍵入)選Add Existing File,將我們已編輯好的檔案加入。 將我們所需要的檔案加入,按Browse選擇我們所需檔案count.v,

count_test.vt),按下OK。 再將先前所開啟的增加檔案的視窗關閉,按close。 4.按下compile all。

Compile成功沒有顯示出錯誤訊息, 則開始模擬波形 5.按下Simulation, 選擇檔案所在的Library (work), 點選TestBench的Module Name t_Gap_finder 按OK 6.承接上步驟將會跳出以下視窗,若要將所有訊號加入波型中觀察則選擇在 testbench的module name: count_tst按滑鼠右鍵選擇→ Add → Add to Wave。

7.在波型畫面按下Run All開始模擬 跑完後會跳出下面視窗選擇否則可觀察模擬波形,若按下是則會將ModelSim關閉。

8.觀察波形圖是否與功能符合,若與設計不符則修改設計並重複執行Step 4到 Step 8 Testbench語法 `timescale 1 ps/ 1 ps 前面的1ps代表程式中最小的時間單位 後面的1ps代表運算的精準度

单片机系统设计与仿真软件

(此文档为word格式,下载后您可任意编辑修改!) PROTEUS —单片机系统设计与仿真软件 一、Proteus 6.7 Professional 界面简介 安装完Proteus后,运行ISIS 6.7 Professional,会出现以下窗口界面: 为了方便介绍,分别对窗口内各部分进行中文说明(见上图)。下面简单 介绍各部分的功能: 1.原理图编辑窗口(The Editing Window ):顾名思义,它是用来绘制原理图的。蓝色方框内为可编辑区,元件要放到它里面。注意,这个窗口是没有滚动条的,你可用预览窗口来改变原理图的可视范围。 2.预览窗口(The Overview Window ):它可显示两个内容,一个是:当你 在元件列表中选择一个元件时,它会显示该元件的预览图;另一个是,当你的鼠标焦点落在原理图编辑窗口时(即放置元件到原理图编辑窗口后或在原理图编辑窗口

中点击鼠标后),它会显示整张原理图的缩略图,并会显示一个绿色的方框,绿色 的方框里面的内容就是当前原理图窗口中显示的内容,因此,你可用鼠标在它上面点击来改变绿色的方框的位置,从而改变原理图的可视范围。 3.模型选择工具栏( Mode Selector Toolbar ):主要模型( Main Modes ): 1*选择元件(components)(默认选择的) 2* 放置连接点 3* 放置标签(用总线时会用到) 4* 放置文本 5* 用于绘制总线 6* 用于放置子电路 7* 用于即时编辑元件参数 (先单击该图标再单击要修改的元件) 配件( Gadgets): 1*终端接口( terminals):有VCC、地、输出、输入等接口 2* 器件引脚:用于绘制各种引脚 3* 仿真图表( gra ph ) :用于各种分析,如Noise Analysis 4* 录音机 5* 信号发生器( generators) 6* 电压探针:使用仿真图表时要用到 7* 电流探针:使用仿真图表时要用到 8* 虚拟仪表:有示波器等 2D 图形( 2D Graphics): 1* 画各种直线 2* 画各种方框 3* 画各种圆 4* 画各种圆弧 5* 画各种多边形 6* 画各种文本 7* 画符号 8* 画原点等 4.元件列表( The Object Selector ):用于挑选元件( components)、终端接口 ( terminals)、信号发生器 (generators)、仿真图表(graph)等。举例,当你选择"元件 (components)”,单击"P”按钮会打开挑选元件对话框,选择了一个元件后(单击了“ OK ”后),该元件会在元件列表中显示,以后要用到该元件时,只需在元件列表中选择即可。 5.方向工具栏( Orientation Toolbar ):旋转:旋转角度只能是90 的整数倍。 翻转:完成水平翻转和垂直翻转。使用方法:先右键单击元件,再点击(左击)相应的旋

modelsim使用 + 前仿真 + 后仿真 + verilog

Modelsim 6.0 使用教程 1. Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 2.安装 同许多其他软件一样,Modelsim SE同样需要合法的License,通常我们用Kengen产生license.dat。 ⑴.解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选 择是。出现Modelsim License Wizard时选择Close。 ⑵.在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后 复制到该文件夹下。 ⑶.修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量-> (系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了, 请用“;”将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat

单片机系统设计与仿真软件

(此文档为word格式,下载后您可任意编辑修改!) PROTEUS —单片机系统设计与仿真软件 一、Proteus 6.7 Professional 界面简介 安装完Proteus 后,运行ISIS 6.7 Professional,会出现以下窗口界面: 为了方便介绍,分别对窗口内各部分进行中文说明(见上图)。下面简单 介绍各部分的功能: 1.原理图编辑窗口(The Editing Window):顾名思义,它是用来绘制原理 图的。蓝色方框内为可编辑区,元件要放到它里面。注意,这个窗口是没有滚动条的,你可用预览窗口来改变原理图的可视范围。 2.预览窗口(The Overview Window):它可显示两个内容,一个是:当你 在元件列表中选择一个元件时,它会显示该元件的预览图;另一个是,当你的鼠标焦点落在原理图编辑窗口时(即放置元件到原理图编辑窗口后或在原理图编辑窗口中点击鼠标后),它会显示整张原理图的缩略图,并会显示一个绿色的方框,绿色

的方框里面的内容就是当前原理图窗口中显示的内容,因此,你可用鼠标在它上面点击来改变绿色的方框的位置,从而改变原理图的可视范围。 3.模型选择工具栏(Mode Selector Toolbar): 主要模型(Main Modes): 1* 选择元件(components)(默认选择的) 2* 放置连接点 3* 放置标签(用总线时会用到) 4* 放置文本 5* 用于绘制总线 6* 用于放置子电路 7* 用于即时编辑元件参数(先单击该图标再单击要修改的元件) 配件(Gadgets): 1* 终端接口(terminals):有VCC、地、输出、输入等接口 2* 器件引脚:用于绘制各种引脚 3* 仿真图表(graph):用于各种分析,如Noise Analysis 4* 录音机 5* 信号发生器(generators) 6* 电压探针:使用仿真图表时要用到 7* 电流探针:使用仿真图表时要用到 8* 虚拟仪表:有示波器等 2D图形(2D Graphics): 1* 画各种直线 2* 画各种方框 3* 画各种圆 4* 画各种圆弧 5* 画各种多边形 6* 画各种文本 7* 画符号 8* 画原点等 4.元件列表(The Object Selector): 用于挑选元件(components)、终端接口(terminals)、信号发生器(generators)、仿真图表(graph)等。举例,当你选择“元件(components)”,单击“P”按钮会打开挑选元件对话框,选择了一个元 件后(单击了“OK”后),该元件会在元件列表中显示,以后要用到该 元件时,只需在元件列表中选择即可。 5.方向工具栏(Orientation Toolbar):

Modelsim 仿真步骤总结

Modelsim 仿真步骤总结 Modelsim 仿真主要有以下三个方面:各版本的方法大体一致。(1)建立库并映射库到物理目录; (2)编译源代码(包括testbench); (3)执行仿真; 下面具体演示每一步的操作方法及流程,为力求简洁,其中有多种操方法的只介绍一种。 一)建立库的演示: 步骤为——启动modelsim>点击file菜单>(Change Directory)>new>(project)>library.注括号内的步骤非必须。 1.1)启动modelsim; (1.2)点击file菜单,此时若需更改路径,可以点击其中的Change Directory.(注modelsim会自动默认路径。我们也可以在1.1步骤之前建立一个文件夹用于modelsim的工程,再将路径更改到我们新建的文件夹路径。)

(1.3)点击file子菜单中的new,选择project用于新建立一个modelsim工程。(虽然此步非必须,但是建立一个新工程有益 于接下来整个仿真文件的管理。)

1.4)点击file子菜单new,再点击new下的library,用于建立 一个库。(仔细观察1.3步,新建工程的同时亦可建立库)。 至此,modelsim仿真第一大步建立库的工作完毕。

二)编译源代码(包括testbench): 大家都已知道在quartus中编译源代码的方法,这里说明一下在modelsim中编译源代码及testbench的方法。 步骤为——建立一个新工程(同时建立库)后,会自动提示你四个选项。如图: 选create new file后提示对话框,(也可以在有源文件的情况下选择add existing file到工程中。例如我们用quartus写好文件后,可以用此方法将quartus中的文件添加到modelsim工程中来)让你命名你即将创建的新文件,完毕后,会自动出现一个空白的编辑窗口,在那里输入源代码和testbench。(提示:这样编写完后点保存,便可以直接保存到上面设臵好的work库中。比起另一种方法,不建工程直接建库,再通过菜单栏source添加源

怎样利用电路仿真软件进行模拟电路课程的学习

怎样利用电路仿真软件进行模拟电路课程的学习电路分析实验报告 实验二 学习用multisim软件对电路进行仿真 一.实验要求与目的 1.进一步熟悉multisim软件的各种功能。 2.巩固学习用multisim软件画电路图。 3.学会使用multisim里面的各种仪器分析模拟电路。 4.用multisim软件对电路进行仿真。 二、实验仪器 电脑一台及其仿真软件。 三.实验内容及步骤

(1)在电子仿真软件Multisim 基本界面的电子平台上组建如图所示的仿真电路。双击电位器图标,将弹出的对话框的“Valve”选项卡的“Increment”栏改成“1”,将“Label”选项卡的“RefDes”栏改成“RP。 ” 2)调节RP大约在35%左右时,利用直流工作点分析方法分析直 流工作点的值。直流工作点分析(DC Operating Point Analysis)是用来分析和计算电路静态工作点的,进行分析时,Multisim 自动将电路分析条件设为电感、交流电压源短路,电容断开。 单击Multisim 菜单“Simulate/Analyses/DC operating Point…”,在弹出的对话框中选择待分析的电路节点,如2图所示。单击Simulate 按钮进行直流工作点分析。分析结果如图3所示。列出了

单级阻容耦合放大电路各节点对地电压数据,根据各节点对地电压数据,可容易计算出直流工作点的值,依据分析结果,将测试结果填入表1中,比较理论估算与仿真分析结果。 表1 静态工作点数据 电压放大倍数测试 (1)关闭仿真开关,从电子仿真软件Multisim 10基本界面虚拟仪器工具条中,调出虚拟函数信号发生器和虚拟双踪示波器,将虚拟函数信号发生器接到电路输入端,将虚拟示波器两个通道分别接到电路的输入端和输出端,如图4所示。 (2)开启仿真开关,双击虚拟函数信号发生器图标“XFG1”,将打开虚拟函数信号发生器放大面板,首确认“Waveforms”栏下选取的是正弦信号,然后再确认频率为1kHZ”;再确认幅度为 10mVp,如图5所示。 四.仿真分析 动态测量仿真电路

单片机系统设计与制作Proteus 软件学习指南

Proteus 软件学习指南 【知识目标】 (1)了解Proteus仿真软件在单片机开发中的作用和意义; (2)了解Proteus软件的菜单功能。 【能力目标】 (1)能用Proteus构建单片机硬件系统; (2)选择正确的仿真测试仪器进行观察; (3)在程序加载到单片机CPU后台时,能选择正确的方式进行仿真调试。 Proteus软件是由英国Labcenter Electronics公司开发的EDA工具软件,已有近20年的历史。该软件集成了高级原理布图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计系统。它是一种混合电路仿真工具,包括模拟电路仿真、数字电路仿真、单片机及其外围电路组成的仿真等。 Proteus软件由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑软件。ISIS是一个操作简便且功能强大的原理绘图工具,它整合了SPICE的仿真模型,拥有超过8000个元件的庞大库的结构。ARES则可将ISIS 的仿真原理图生成PCB版图。 Proteus支持许多通用的微控制器,如PIC系列、AVR系列、8051系列等;同时它还支持ARM、PLD及各种外围芯片的仿真,如基于 HD44780芯片的字符LCD、RAM等;具有单步运行、断点设置等调试功能;能与常用编译器如Keil、IAR、Proton等协同调试;有直流电流表/电压表、交流电压表/电流表、示波器逻辑分析仪、频率计等虚拟仪器,为仿真中的测量记录提供了方便;支持图形化分析功能,具有频率特性、傅里叶分析等图形方式,可将仿真曲线精美地绘制出来。 随着计算机技术的迅速发展,使用EDA软件进行电路仿真与设计已经成为一种趋势。众多院校在电路实践教学改革中引入了EDA技术,并建立了EDA实验室。配备了EWB、Pspice、Multisim、Protel、MaxPlus II、SystemView等相关软件及配套硬件。但这些设备对仿真单片机却无能为力。

Quartus与Modelsim的联合功能仿真

Modelsim SE 10.0.c入门教程 小小龙https://www.doczj.com/doc/cd7578115.html, 结合黑金FPGA开发板的所用的软件quartus 11.0与modelsim10.0c, 本文介绍给初学者介绍Modesim SE 入门,Modesim SE 入门视频可在https://www.doczj.com/doc/cd7578115.html,上下载! 大家知道,自从quartus 10以后,quartus就不自带的波形仿真软件,想要仿真需自己安装第三方软件(如modelsim等),闲话我就不多说,下面主要介绍如何在quartus 11.0中如何调用modelsim 10.0c以及testbench的编写。 下面就以一个计数器为例进行讲解(黑金开发板DB4CE平台),前提需安装quartus11.0 、modelsim10.0c,好了,切入正题。 新建工程时在出现的画面中按如下设置

根据仿真软件及语言进行选择,上图我们用到的软件为modelsim, 语言:Verilog HDL 下面我们编写一个计数器程序,在quartus中编写count128.v文件, 代码如下:

程序实现对clk的128分频 下一步告诉大家怎样编写仿真测试文件testbench,如下操作 至此,testbench模板已经OK!,生成的文件为.vt,位于工程文件夹simulation\modelsim中,如下

有了模板,但只是给了我们一个架构,还需要编写仿真文件,打开模板,来瞧瞧里面到底有些什么

注意:testbench中规定 a)输入一律为reg型变量,输出为wire型; b)可以有不可综合的语句;for,while等; 根据count128.v,测试文件testbench为 只要给时钟clk和rst_n赋值变OK了

相关主题
文本预览
相关文档 最新文档