当前位置:文档之家› 华中科技大学文华学院计算机组成原理课设

华中科技大学文华学院计算机组成原理课设

华中科技大学文华学院计算机组成原理课设
华中科技大学文华学院计算机组成原理课设

华中科技大学文华学院计算机组成原理课程设计

学部:信息科学与技术学部

专业:软件工程

班级:1班

学号:1001070111

姓名:彭

指导老师:袁

目录

一、课设目的 (3)

二、课设任务 (3)

三、使用的设备及软件 (3)

设备 (3)

软件 (3)

四、设备连接 (4)

五、编程题目 (4)

六、程序流程图 (5)

七、程序 (5)

八、对应的微指令 (7)

九、调试过程 (8)

十、结果 (11)

十一、总结 (11)

一、课设目的

通过课程设计更清楚地理解下列基本概念:

1、计算机的硬件基本组成;

2、计算机中机器指令的设计;

3、计算机中机器指令的执行过程;

4、微程序控制器的工作原理;

5、微指令的格式设计原理。

6、通过使用软件HKCPT,了解程序编译、加载的过程。

7、通过微单步、单拍调试,理解模型机中的数据流向。

二、课设任务

1、在掌握各模块功能的基础上,构成模型机;

2、熟悉模型机的通路、微指令系统、与汇编指令的关系;

3、使用微机与模型机连接调试的应用程序HKCPT;

4、编辑程序,了解程序编译、加载及运行的过程。

三、使用的设备及软件

设备

此次课设使用HK-CPT-IV型计算机组成原理实验平台,实验平台简介如下:

1.基本功能模块:运算器模块、指令部件模块、堆栈寄存器模块、存储器模块、总线传输模块、微程序模块、启停和时序模块,以及用于调试和观察数据的监控模块。

2.组成结构:采用总线结构。总线分为:内部、外部地址总线,内部、外部数据总线。

3.监控模块:为实验调试和程序设计带来了相当的便利。实验者可以通过监控模块来修改微程序和内存中的程序。

4.操作方式:单机方式——整个系统可单独使用;联机方式——系统可与PC机相连。

软件

此次课设使用的软件为HKCPT,其使用介绍如下:

1.启动HKCPT:第一次启动HKCPT,用户需设置实验平台通讯端口。退出HKCPT 时,会自动保存用户最后一次的设置。用户选择“设置——实验平台”菜单项,在弹出的对话框中,选择相应的通讯串口、通讯波特率和延时因子。点击确定按钮,即可使用。

2.程序编写:选择“文件—新文件”菜单项,将新建一个空的编辑窗口。用户在编辑窗口中输入以下程序。输入完毕,选择“文件—另存为”菜单项,把该文件保存为demo.asm。因为编译器支持长文件名,用户也可以把该文件保存在如“我的文档”之类的目录夹中。用户可以直接选择“文件—打开”菜单项打开该文件。

3.程序编译:编译是检查源文件的语法错误,如果源文件没有语法错误,编译器将生成源文件的目标代码,由于是单汇编文件,编译产生的目标代码可以直接加载调试。用户选择“编译——编译当前文件”菜单项,将编译当前活动窗口中的源文件,编译结果的信息显示在输出窗口中。用户可以根据输出窗口中错误信息直接定位到源文件的相应位置。

4.程序运行:加载后,可以运行程序,有三种运行方式:

①微单步:每执行一条微程序,PC指针加1,微指令向后移动一步,同时结构图中绘出执行步及上一步的数据流向,各个寄存器和控制线状态会相应改变。

②程序单步:从当前PC指针行执行源文件的一行语句,然后又停止。结构图中显示的寄存器值和控制线都会随之刷新,但不显示数据流。

③全速运行:全速运行程序,遇到用户断点或按暂停键或执行到halt指令停止。

四、设备连接

实验过程中的设备连接包括3部分的内容:

1.数据总线的连接:

接口1 DJ1 DJ2 DJ3 DJ4 DJ5 DJ6 DJ7 PC_OUT 接口2 ALU_IN ALU_OUT R_IN R_OUT RA_IN RA_OUT PC_IN AJ1 2.各模块的连线:由于实验平台提供了连线板,在实验时,只要将连线板插在指定的地方,这样,各个模块就成功的连接了。

3.与计算机的连线:实验平台提供的连接线将实验平台与计算机相连,这样,计算机通过软件可以和平台相互通讯。

五、编程题目

从1加到10,再带进位右移一位,最后,再加上自已学号的后三位,结果存放到RAM 的40H号字节单元中。

六、程序流程图

开始

A=0

R0=10

A=A+R0

R0--

N

R0>0 ?

Y

A>>1

A=A+70

将A存入内存

结束

七、程序

根据程序流程图所示,可以进行代码的编写:MOV R0,#0A

MOV A,#0

MOV R3,#1

MOV R1,#1

ADD A,R3

MOV R2,A

MOV A,R3

SUB A,R0

JZ 14

MOV A,R3

ADD A,R1 MOV R3,A MOV A,R2 JMP 08 MOV A,R2 STA 20 RRC A

STA 30 MOV R0,#70 ADD A,R0 STA 40 HALT

八、程序每条指令对应的机器代码和对应的微指令指令机器代码微指令微代码

MOV R0,#0A 6E 0A 取指指令

Dbus->Ri DDBFFF 4DFFFF

MOV A,#0 5F 00 取指指令

Dbus->Ri DDFBFF 4DFFFF

MOV R3,#1 6C 01 取指指令

Dbus->Ri DDBFFF 4DFFFF

ADD A,R3 0C 取指指令

A->Dbus->DR1

Ri->Dbus->DR1

ALU->Dbus->A FFFCF9 FF7F79 FFFBA9 4DFFFF

MOV R2,A 4D 取指指令

A->Dbus->DR1

Ri->Dbus->DR1

ALU->Dbus->A 7FBDFF 4DFFFF

MOV A,R3 3C 取指指令

Dbus->A FF7BFF 4DFFFF

SUB A,R0 1E 取指指令

A->Dbus->Ri

取指指令

Ri->Dbus->IR2

(IR2)->Abus,Dbus->A FFFCD6 FE7F56 FFFB86 4DFFFF

JZ 14 B3 14 D4FFFF

MOV R3,A 4C 取指指令

A->Dbus->DR1

Ri->Dbus->DR1

ALU->Dbus->A 7FBDFF 4DFFFF

MOV A,R2 3D 取指指令

A->Dbus->DR1

Ri->Dbus->DR1

ALU->Dbus->A FF7BFF 4DFFFF

JMP 08 BF 06 取指指令

MOV A,R2 3D 取指指令

A->Dbus->DR1

Ri->Dbus->DR1

ALU->Dbus->A FF7BFF 4DFFFF

RRC A 9F 取指指令

A>>1 FFFEF1 4DFFFF

MOV R0,#73 6C 73 取指指令DDBFFF

4DFFFF

ADD A,R0 0C 8F

取指指令

A->Dbus->DR1 Ri->Dbus->DR1 ALU->Dbus->A FFFCF9 FF7F79 FFFBA9 4DFFFF STA 40

0C 8F

取指指令

A->Dbus->DR1 Ri->Dbus->DR1 ALU->Dbus->A

D5FFFF BBFDFF 4DFFFF

九、调试过程

1.全速执行:

全速执行可以一次性使所有的代码执行完毕,从而得出最终的结果。此过程一般用于代码准确无误之后的执行,其步骤为:单击菜单“调试”项,选择“全速执行”,最后可以看到最终的结果。在执行的过程中,实验平台上的指示灯不断地闪烁,是由于每一条微指令都对应着不同的指示灯的明暗情况。 2.程序单步:

程序单步用于调试使用,每一次执行一条指令,其步骤为:单击菜单“调试”项,选择“程序单步”,可以看到指令序列上的亮条纹移动了一条指令。在执行的过程中,实验平台上的指示灯不断地闪烁,是由于一条指令对应着多条微指令,每一条微指令都对应着不同的指示灯的明暗情况。

3.微单步:

微单步可以将每一条指令包含的微指令分步执行,其步骤为:单击菜单“调试”项,选择“微单步”,可以从结构图窗口中看到每一条微指令执行的操作。在实验平台上,可以看到指示灯的对应不同微指令的不同明暗变化。

例如:“SUB A,R2”对应的微指令序列为:

①取指令

②A->BUS->DR1

③R2->BUS->DR2

④ALU->BUS->A

微单步:

十、结果

1.应得结果:

1+2+3+4+5+6+7+8+9+10=55=(00110111)B

移位后得(00011011)B=(1B)H

我学号的末3位为112=(70)H

则结果为(1B)H+(70)H=(8c)H。

2.查看方法:

在“程序代码窗口”范围内单击鼠标右键,选择“读出”项,在相应的内存区间可以看到内存中的结果:在本例中,数据显示在第4行、第1列(第40H号字节单元内存中)。

3.实际结果:

用读出命令,在程序代码窗口中读出结果——8CH,与计算结果一致。

十一、总结

总的来说,这个课设的完成确实是让我最有成就感的一件事,对于在一定的时间内完成到这种程度,我也确实感到惊讶,也对自己的成长感到自豪!虽然在这次实验中,我们并没有什么太大的亮点,也没有做出来高级功能的扩展,但是我们还是靠着自己的努力一步步实现了任务目标,靠自己的力量解决一系列难题,这也是一件很让人愉快的事。同时也很感谢老师们一直陪伴我们,给与我们指导与帮助,让我们能更有效率的完成这个实验。

最后也是对这次试验的一个感慨,这次试验确实让我有了很大的提高,不只是知识层面,精神层面也有着飞跃,思考能力,发现错误能力,排错纠错能力,这才是我觉得学到的最宝贵的东西,希望在以后的学习生活中还能再接触到相关的有趣知识,让我能有更大的提高!

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

计算机组成原理课设

计算机组成原理课程设计报告 班级:09计算机03 班姓名:** 学号:******** 完成时间:2012年1月3日 一、课程设计目的 1.在实验机上设计实现机器指令及对应的微指令(微程序)并验证,从而进一步掌握微程序设计控制器的基本方法并了解指令系统与硬件结构的对应关系; 2.通过控制器的微程序设计,综合理解计算机组成原理课程的核心知识并进一步建立整机系统的概念; 3.培养综合实践及独立分析、解决问题的能力。 二、课程设计的任务 针对COP2000实验仪,从详细了解该模型机的指令/微指令系统入手,以实现乘法和除法运算功能为应用目标,在COP2000的集成开发环境下,设计全新的指令系统并编写对应的微程序;之后编写实现乘法和除法的程序进行设计的验证。 三、课程设计使用的设备(环境) 1.硬件 ●COP2000实验仪 ●PC机 2.软件 ●COP2000仿真软件 四、课程设计的具体内容(步骤) 1.详细了解并掌握COP 2000模型机的微程序控制器原理,通过综合实验来实现 (1)该模型机指令系统的特点: ①总体概述 COP2000模型机包括了一个标准CPU所具备所有部件,这些部件包括:运算器ALU、累加器A、工作寄存器W、左移门L、直通门D、右移门R、寄存器组R0-R3、程序计数器PC、地址寄存器MAR、堆栈寄存器ST、中断向量寄存器IA、输入端口IN、输出端口寄存器OUT、程序存储器EM、指令寄存器IR、微程序计数器uPC、微程序存储器uM,以及中断控制电路、跳转控制电路。其中运算器和中断控制电路以及跳转控制电路用CPLD来实现,其它电路都是用离散的数字电路组成。微程序控制部分也可以用组合逻辑控制来代替。 模型机为8位机,数据总线、地址总线都为8位,但其工作原理与16位机相同。相比而言8位机实验减少了烦琐的连线,但其原理却更容易被学生理解、吸收。

计算机组成原理课程设计(微程序)报告

微程序控制器的设计与实现

目录 1设计目的 (3) 2设计内容 (3) 3具体要求 (3) 4设计方案 (3) 5 调试过程 (11) 6 心得体会 (12)

微程序控制器的设计与实现 一、设计目的 1)巩固和深刻理解“计算机组成原理”课程所讲解的原 理,加深对计算机各模块协同工作的认识 2)掌握微程序设计的思想和具体流程、操作方法。 3)培养学生独立工作和创新思维的能力,取得设计与调 试的实践经验。 4)尝试利用编程实现微程序指令的识别和解释的工作 流程 二、设计内容 按照要求设计一指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。 三、设计要求 1)仔细复习所学过的理论知识,掌握微程序设计的思 想,并根据掌握的理论写出要设计的指令系统的微程 序流程。指令系统至少要包括六条指令,具有上述功 能和寻址方式。 2)根据微操作流程及给定的微指令格式写出相应的微 程序 3)将所设计的微程序在虚拟环境中运行调试程序,并给 出测试思路和具体程序段 4)尝试用C或者Java语言实现所设计的指令系统的加 载、识别和解释功能。 5)撰写课程设计报告。 四、设计方案 1)设计思路 按照要求设计指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻

址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。从而可以想到如下指令:24位控制位分别介绍如下: XRD :外部设备读信号,当给出了外设的地址后,输出此信号,从指定外设读数据。 EMWR:程序存储器EM写信号。 EMRD:程序存储器EM读信号。 PCOE:将程序计数器PC的值送到地址总线ABUS上。 EMEN:将程序存储器EM与数据总线DBUS接通,由EMWR 和EMRD决定是将DBUS数据写到EM中,还是 从EM读出数据送到DBUS。 IREN:将程序存储器EM读出的数据打入指令寄存器IR 和微指令计数器uPC。 EINT:中断返回时清除中断响应和中断请求标志,便于下次中断。 ELP: PC打入允许,与指令寄存器的IR3、IR2位结合,控制程序跳转。 MAREN:将数据总线DBUS上数据打入地址寄存器MAR。 MAROE:将地址寄存器MAR的值送到地址总线ABUS上。 OUTEN:将数据总线DBUS上数据送到输出端口寄存器OUT 里。 STEN:将数据总线DBUS上数据存入堆栈寄存器ST中。 RRD:读寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 RWR:写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 CN:决定运算器是否带进位移位,CN=1带进位,CN=0不带进位。 FEN:将标志位存入ALU内部的标志寄存器。 X2:X1:X0: X2、X1、X0三位组合来译码选择将数据送到DBUS上的寄存器。具体如下: X2 X1 X0 输出寄存器 0 0 0 IN_OE 外部输入门 0 0 1 IA_OE 中断向量 0 1 0 ST_OE 堆栈寄存器 0 1 1 PC_OE PC寄存器

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理课设(多寄存器减法、右移位、输入输出、转移指令实验计算机设计)

目录 1课设目的 (1) 2课设内容 (1) 3课设计算机的设计 (1) 3.1设计整机逻辑框图 (1) 3.2指令系统的设计 (3) 3.3微指令的格式设计及微操作控制部件的组成原理 (6) 3.4微程序设计 (9) 3.5编写调试程序 (12) 3.6编写实验程序 (14) 4实验计算机的组装 (15) 5、实验计算机的调试 (18) 5.1.调试前准备 (18) 5.2.程序调试过程 (18) 5.3调试结果 (19) 5.4出错和故障分析 (21) 5.4.1出错分析 (21) 5.4.2故障分析查找 (21) 5.4.3确认是否属故障 (22) 5.4.4正确判断故障原因 (22) 6心得体会 (22) 7参考文献 (22)

1课设目的 (1)组成一个复杂的计算机整机系统—模型机,输入程序并运行; (2)了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; (3)定义几条机器指令,编写相应微程序并具体上机调试. (4)完成多寄存器算术减法\右移位\输入输出\转移指令实验计算机设计。 2课设内容 利用EL-JY-II型计算机组成原理实验仪提供的硬件资源,通过设计(包括整机结构设计、指令设计、微程序设计、微指令设计、调试程序设计等)、组装、调试三个步骤完成台微程序控制的简单实验计算机的研制。 完成多寄存器算术减法\右移位\输入输出\转移指令实验计算机设计。 3课设计算机的设计 3.1设计整机逻辑框图 本系统的结构组成为: 1.基板:本部分是8位机和16位机的公共部分,包括以下几个部分:数据输入和输出,显示及监控,脉冲源及时序电路,数据和地址总线,外设控制课设电路,单片机控制电路和键盘操作部分,与PC机通讯的接口,主存储器,电源,CPLD课设板(选件),自由课设区(面包板)。 2.CPU板:本板分为8位机和16位机两种,除数据字长分别为8位和16位以外,都包括以下几个部分:微程序控制器,运算器,寄存器堆,程序计数器,指令寄存器,指令译码电路,地址寄存器,数据、地址和控制总线。 运算器:由算术逻辑单元(ALU)、累加寄存器、数据缓冲寄存器、和状态条件寄存器组成,它是数据加工处理部件。相对控制器而言,运算器接受控制器的命令而进行动作,即运算器所进行的全部操作都是有控制器发出的控制信号来指挥的,所以它是执行部件. 存储器:是保存或“记忆”解题的原始数据和解题步骤。在运算前需要把参加运算的数据和解题步骤通过输入设备送到存储器中保存。 微程序控制器:控制部件通过控制线向执行部件发出各种控制命令,通常把这种控制命令叫做微命令,而执行部件接受命令后的操作叫做微操作。 1

计算机组成原理课程设计

附件一 湖南工业大学 课程设计 资料袋 计算机与通信学院(系、部)2015 ~ 2016 学年第2 学期课程名称计算机组成原理指导教师杨伟丰职称教授 学生姓名顾宏亮专业班级软件1403 学号14408300328 题目复杂模型机的设计 成绩起止日期2016 年 6 月20日~2016 年6月21 日 目录清单

附件二湖南工业大学 课程设计任务书 2015 —2016 学年第2 学期 计通 学院(系、部)软件专业1403 班级 课程名称:计算机组成原理 设计题目:复杂模型机的设计 完成期限:自2016 年 6 月20 日至2016 年6 月21 日共 1 周 内容及任务1.根据复杂模型机的指令系统,编写实验程序 2.按图连接实验线路,仔细检查线路无误后接通电源。 3.写程序 4.运行程序 进度安排 起止日期工作内容2016.6.20-2016.6.21连接线路进行实验 主 要 参 考 资 料 唐朔飞.计算机组成原理.北京:高等教育出版社 指导教师(签字):年月日系(教研室)主任(签字):年月日附件三

设计说明书 计算机组成原理 复杂模型机的设计 起止日期:2016 年6月20 日至2016 年 6 月21 日 学生姓名顾宏亮 班级软件1403 学号14408300328 成绩 指导教师(签字) 计算机与通信学院(部) 2016年7月1日 设计题目:复杂模型机的设计

一、设计目的 综合运用所学计算机原理知识,设计并实现较为完整的模型计算机。 二、设计内容 根据复杂模型机的指令系统,编写实验程序,并运行程序,观察和记录运行结果。 三、预备知识 1、数据格式 8位,其格式如下: 1≤X<1。 2、指令格式 模型机设计四大类指令共十六条,其中包括算术逻辑指令、I/O指令、访问存储器、转移指令和停机指令。 (1)算术逻辑指令 (2)访存指令及转移指令 模型机设计2条访存指令,即存数(STA)、取数(LD),2条转移指令,即无条件转移(JMP)、结果 ,M (3)I/O指令 OUT指令中,addr=10时,表示选中“OUTPUT UNIT”中的数码块作为输出设备。 (4)停机指令

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

北京工业大学 计算机组成原理课设 报告

计算机组成原理课程设计实验报告 班级:110703 学号:110703xx 姓名:xxx 同组人:xx 日期:2013年6月28日

目录 1.主机系统总体机构框图 ---------------------------------------------------------------3 2.数据通路机构设计 -----------------------------------------------------------------------3 3.各部件详细设计图 -----------------------------------------------------------------------6 4.指令系统中的各种机器指令 -----------------------------------------------------18 5.指令的格式和寻址方式 -------------------------------------------------------------18 6.微程序控制部件的设计方法及调试过程 ---------------------------------20 6.1.时序系统设计方法 -----------------------------------------------------------20 6.2.微指令的详细设计 -----------------------------------------------------------22 6.3.取指公共操作及指令流程 -----------------------------------------------32 6.4.取指微程序及各指令微程序 -------------------------------------------34 6.5.控制部件逻辑连线图及封装图

华中科技大学

物理学院 华中科技大学物理学院是在1983年成立的原华中理工大学物理系的基础上逐渐发展、演变而来。经过近三十年广大教职工发扬“探物穷理创新,自信自强争先”的精神,埋头苦干,艰苦创业。现已发展成为具有多个有突出特色的学科研究方向,在国内外有一定影响的物理学院系之一。多年来,为国家培养输送应用物理专业本科生12800人,硕士博士研究生520余人,并接受了世界多个国家的留学研究生。目前在读的本科生有近600多人,博士和硕士研究生300余人。 物理学院拥有物理学一级学科博士后流动站;物理学一级学科博士及硕士学位授予权(其中含理论物理,凝聚态物理,无线电物理、等离子体物理、光学、精密测量物理、材料物理与化学七个二级学科博士点),该学科获评为湖北省一级重点学科。 物理学院下设“理论物理中心”、“凝聚态与材料物理中心”、“光学中心”、“引力中心”、“等离子体物理中心”、“地球物理研究所”以及“大学物理中心”、“物理实验中心”和“物理专业教学中心”。建有科技部“引力与固体潮国家野外科学观测研究站”、“引力与量子物理”湖北省重点实验室、“基本物理量测量”教育部重点实验室、“重力导航”教育部重点实验室(B类);“引力实验与理论”国家基金委创新研究群体;并参与武汉光电国家实验室(筹)、脉冲强磁场实验装置和磁约束核聚变(ITRE)计划平台的建设;物理实验教学中心获准建设国家级实验教学示范中心。 学院现有教职工116人,其中中国科学院院士3人(含双聘院士两人),博士生导师25人,教授33人,副教授28人;973首席科学家1人;有3人获“长江学者特聘教授”称号;1人获“湖北省优秀中青年专家”称号;4人获“国家杰出青年基金”资助;1人获中科院“百人计划”项目资助;1人被评为“全国首届高等学校教学名师奖”;1人获“第五届全国科普作品奖”一等奖;2人获“全国百篇优秀博士论文指导教师”的称号,指导3位博士生获得“全国百篇优秀博士论文”;2人入选国家百千万人才工程;6人获教育部跨/新世纪优秀人才资助,1人入选湖北省楚天学者;获国家自然科学奖二等奖1项,湖北省/教育部自然科学奖一等奖、二等奖十余项。 学院积极开展国际交流与合作,与国际学术界交往频繁,每年都有多人次出国进修、合作研究、参加国际学术会议和讲学;并多次邀请国外学者来学院访问与讲学。分别与美国、德国、意大利、俄罗斯、澳大利亚、以色列、香港、台湾等国家和地区的著名大学和科研机构建立了良好的联系与合作关系。 科学学位硕士研究生奖学金评定和助学金、贷款资助等办法按学校有关规定实行。2012年在招生计划总数下,拟接收校内外硕士推免生约占30%,统招生约占70%。

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

华中科技大学光学工程考研攻略

由于名额很多(国家光电实验室是目前光电界最强最大的实验室,导师很多),不是很难考。。。。 武汉有个中国光谷,其他地方北京、上海、广东光电企业比较多。光电是一个新兴产业,国家正在大力投入发展光电产业,在武汉建有中国光谷。目前最好的学校是华中科技大学,拥有光电类最强的实验室国家光电实验室(五个国家实验室之一,光电类唯一一个,每个国家实验室的投入相当于7个国家重点实验室。五个国家实验室就有一个是光电的,可见国家对光电的重视),里面建有1个国际联合实验室,4个国家重点实验室,1个国家工程实验室,30多个海内外院士,100多个博导,37支学术团队,并且建在中国光谷的中心,周围有烽火通信科技,华为研究中心,中兴,楚天激光,中国电信研究中心,长飞光纤等等许许多多光电企业,将来无论是就业还是创业都是非常好的。是公认我国光电最强的大学。考研320分左右 除了华中科技以外,还有哈工大,北理工,天津大学的都还不错。由于是新兴专业,很多大学像川大这种不擅长光电的学校,每年招聘会来300个单位也没有一个是光电的企业,就业比较困难。而像华科这样的以光电为特色的学校每年都有好几次光电专场招聘会,工作非常好找。考研其实没那么的难,只要坚持就一定能上,希望楼主把目标定高点定长远点 至于考研科目,我强烈建议你别考光学,非常难找工作,连光学全国前三的哈工大,其光学毕业生月薪都不高,而且还不好找,毕竟理学就是这样。而物理电子或者是光学工程这两个工学专业却却非常好找工作,强烈建议你报光学工程或者物理电子学,我们有很多同学光工没上宁愿再来一年也不调光学 本人今年考上了华科光电学硕!曾经在论坛里得到过一些帮助,现在我想回报下论坛,给学弟学妹们简单介绍下今年光电的行情,希望大家能在考研论坛里交流有所得,欢迎各位同仁一起讨论。祝天下考研人梦想成真! 复试笔试之前,院长在宣读政策的时候公布:12年学术招162人(含30个推免),专硕招30人(含4个推免),也就是说留给统考生的指标一共是132+26=158个名额。进入复试的有178人,等于是要刷20人,笔试之前就有1个人放弃了(听说是个高分,找到好工作了),后来面试的时候听说又有一个人没去(这个是听说的,不确定)。178人里面有两个是报的专硕,只要他们复试后的排名在158以内,他们就可以上专硕,转不了学硕,但学硕转专硕的也挤不掉他们。如果报的是学硕,看最后排名在132到158之间的话,可以学硕转专硕,如果排名在158以后(也就是说被刷),那还有个什么中欧能源的可以报名(这个本人不怎么了解)。至于学硕奖学金(学硕推免的是全奖,专硕推免的忘了,抱歉哈)的话,80%的全奖,20%的半奖,具体最后公布的是说,排名97以前的是全奖,97到132的是半奖。专硕的也有奖助金(注意叫法不同),具体怎么样的不记得了。

计算机组成原理课设

一、课程设计的原始资料及依据 查阅有关计算机组成原理的教材、实验指导书等资料,进一步熟悉微程序控制器原理,微指令的设计方法。在掌握运算器、存储器、微程序控制器等部件的单元电路实验的基础上,进一步将各部件组成系统,构造一台基本模型计算机。为给定的机器指令编写相应的微程序,上机调试,掌握整机概念。 二、课程设计主要内容及要求 1.认真阅读资料,掌握给定的机器指令的操作功能。 2.分析并理解数据通路图。 3.根据数据通路图画出给定的机器指令的微程序流程图。 4.根据微指令格式编写每条机器指令对应的微程序,形成“二进制微指令代码表”。 5.全部微程序设计完毕后,将微程序中各个微指令正确地写入E2PROM芯片2816中。 6.进行机器指令程序的装入和检查。 7.运行程序,检查结果是否和理论值一致。 8.IN、ADD、JMP指令为必做指令,另外新定义1条机器指令重复上述过程。各组要 9.STA和OUT指令为选做指令,供有能力的学生完成。 10.记录出现故障的现象,并对故障进行分析,说明排除故障的思路及故障性质。 11.独立思考,认真设计。遵守课程设计时间安排。 12.认真书写课程设计说明书,避免相互抄袭。 三、对课程设计说明书撰写内容、格式、字数的要求 1.课程设计说明书是体现和总结课程设计成果的载体,主要内容包括:设计题目、设计目的、设备器材、设计原理及内容、设计步骤、遇到的问题及解决方法、设计总结、设计小组评语、参考文献等。一般不应少于3000字。 2.在适当位置配合相应的实验原理图、数据通路图、微程序流程图、实验接线图、微指令代码表等图表进行说明。应做到文理通顺,内容正确完整,书写工整,装订整齐。 3.设计总结部分主要写本人设计期间所做工作简介、得到了哪些设计成果、以及自己的设计体会,包括通过课程设计有何收获,程序有哪些不足之处,哪里遇到了困难,解决的办法,以及今后的目标。设计小组评语处注明设计组编号、设计组组长、设计组成员,并由设计组组长给出评语。评语包括该同学主要完成了哪些任务,课程设计期间的表现和态度如何,组长自己的评语由小组其他成员集体讨论后写出。 4.课程设计说明书手写或打印均可,具体要求如下:

光电技术复习资料_华中科技大学物理专业用

一、概念题 1、辐射照度:接收面上单位面积所照射的辐射通量。单位是W/m 2 2、价带:晶体最外层电子占据的能带 3、发光强度:频率为540×1210Hz (对应真空波长555nm )的单色辐射在给定方向上的辐射强度为1/683 W/sr 时,规定该方向上的发光强度为1cd (sr 为球面度) 4、导带:电子受到热激发越过禁带,占据的价带上更高的能带 5、间接复合:自由电子和自由空穴通过禁带中的复合中心间接进行复合,释放能量 6、响应率:探测器的输出信号电压s V 或电流s I 与入射的辐能量e Φ之比, S S v I e e V I S S ΦΦ==或 7、噪声:探测器输出的光电信号并不是平坦的,而是在平均值上下随机的起伏,这种随机的、瞬间的幅度不能预先知道的起伏称为噪声 8、散粒噪声:犹如射出的散粒无规则地落在靶上所呈现的起伏,每一瞬间到达靶上的值有多有少,这些散粒是完全独立的事件,这种随机起伏所形成的噪声称为散粒噪声 9、等效噪声功率:如果入射到探测器上的辐通量按某一频率变化,当探测器输出信号电流s I (或电压s V ) 等于噪声的均方根电流 时,所对应的入射辐通量 e Φ称为等效噪声功率NEP 10、二次电子发射:当具有足够动能的电子轰击某些材料时,材料表面会发射新的电子,轰击材料的入射电子称为一次电子,从材料发射出的电子称为二次电子,发射二次电子的过程就是二次电子发射 11、光电导效应:当半导体材料受光照时,由于对光子的吸收引起的载流子的浓度的增大,因而导致材料电导率增大,这种现象就是光电导效应 12、光生伏效应:当入射光照射PN 结表面时,光生载流子在内建电场的作用下被扫向PN 结的两边,若无外环路,形成垫垒,产生一个光生电动势 13、雪崩倍增效应:在光电二极管的PN 结上加一相当高的反向偏压,使结区产生一个很强的电场,当光激发的载流子或热激发的载流子进入结区后,在强电场的加速下获得很大的能量,与晶格原子碰撞而使晶格原子发生电离,产生新的电子-空穴对,新产生的电子-空穴对在向电极运动过程中又获得足够能量,再次与晶格原子碰撞,又产生新的电子-空穴对,这一过程不断重复,使PN 结内电流急剧倍增,这种现象称为雪崩倍增效应 14、表面势:以体内的i E 为零势点,表面上is E 相对于体内的i E 的势称为表面势 ()s is i V E E q =- 15、平带电压:界面处有固定的正电荷,在氧化物中有可移动的电荷,能带稍有弯曲,要使能带变平所需的电压 16、辐射通量:是以辐射的形式发射、传播或接收的功率,也是辐射能随时间的变化率e e dQ dt Φ=单位为瓦W e e d E dA ?=

《计算机组成原理》课程标准

《计算机组成原理》课程标准 一、课程基本情况 课程名称:计算机组成原理 适用专业:计算机应用专业 课程性质:专业核心课程 计划学时:60学时 二、制定课程标准的依据 本课程教学标准依据中职计算机应用专业的专业教学标准中的人才培养目标和培养规格以及对 计算机组成原理课程教学目标要求而制定,用于指导计算网组成原理课程教学和课程建设。 三、课程性质 本课程是计算机应用专业的一门专业核心课程。本课程通过介绍计算机硬件基本结构、工作原理和分析设计方法等方面的知识,培养学生对计算机的整机概念有较完整清晰的认识,对计算机的硬件结构有深刻的理解和对硬件的分析与设计方法有一定的认识。同时也为学习后续课程打下一定的基础。 四、本课程与前续课程和后续课程的关系 本课程学习和训练之前,学生应已修完如下课程:计算机应用基础、数字电路,而他的后续课 程是计算机系统结构、计算机组成原理。本课程在他的前续课程和后续课程之间起到了纽带的作用。 五、课程的教育目标 1.知识、能力目标 (1)知道《计算机组成原理》这门学科的性质、地位和独立价值; (2)理解计算机系统的运算器、控制器、存储器、输入设备和输出设备五大组成部件的概念和功能,及以整机的工作原理; (3)理解数值数据的表示方法以及运算器的计算方法,了解非数值数据的表示方法和常用编码; (4)理解运算器、控制器、存储器、以及有关的输入设备和输出设备等各个部件的组成结构和基本功能; (5)掌握基本的定点数的加、减运算和实现的基本逻辑电路框图以及浮点数的表示方法; (6)掌握指令的概念和功能以及指令的各种寻址方式和指令类型; (7)知道存储器层次结构和主存系统的设计方法; (8)掌握CPU的功能及组成; (9)理解几种常见的外围设备的信息交换方式; (10)了解常用的外围设备和使用方法; (11)理解组合逻辑控制器和微程序控制器的基本的设计和分析方法。 2.方法、过程目标 (1)通过本课程的学习,培养学生通过计算机组成原理实验,进一步理解计算机内部的工作原

华中科技大学大学物理题库 06_光学习题答案

P S 1 S 2 r 1 n 1 n 2 t 2 r 2 t 1 一、选择题 1.3165:在相同的时间内,一束波长为λ的单色光在空气中和在玻璃中 (A) 传播的路程相等,走过的光程相等 (B) 传播的路程相等,走过的光程不相等 (C) 传播的路程不相等,走过的光程相等 (D) 传播的路程不相等,走过的光程不相等 [ ] 2.3611:如图,S 1、S 2是两个相干光源,它们到P 点的距离分别为r 1和r 2。路径S 1P 垂直穿过一块厚度为t 1,折射率为n 1的介质板,路径S 2P 垂直穿过厚度为t 2,折射率为n 2 的另一介质板,其余部分可看作真空,这两条路径的光程差等于 (A) (B) (C) (D) [ ] 3.3664:如图所示,平行单色光垂直照射到薄膜上,经上下两表面反射的两束光发生 干涉,若薄膜的厚度为e ,并且n 1<n 2>n 3,λ1为入射光在折射率为n 1 的媒质中的波长,则两束反射光在相遇点的相位差为 (A) 2πn 2e / ( n 1 λ1) (B)[4πn 1e / ( n 2 λ1)] + π (C) [4πn 2e / ( n 1 λ1) ]+ π (D) 4πn 2e / ( n 1 λ1) [ ] 4.3169 蓝色的滤光片遮盖另一条缝,则: (A) 干涉条纹的宽度将发生改变 (B) 产生红光和蓝光的两套彩色干涉条纹 (C) 干涉条纹的亮度将发生改变 (D) 不产生干涉条纹 [ ] 5.3171:在双缝干涉实验中,两条缝的宽度原来是相等的。若其中一缝的宽度略变窄(缝 中心位置不变),则 (A) 干涉条纹的间距变宽 (B) 干涉条纹的间距变窄 (C) 干涉条纹的间距不变,但原极小处的强度不再为零 (D) 不再发生干涉现象 [ ] 6.3172:在双缝干涉实验中,为使屏上的干涉条纹间距变大,可以采取的办法是 (A) 使屏靠近双缝 (B) 使两缝的间距变小 (C) 把两个缝的宽度稍微调窄 (D) 改用波长较小的单色光源 [ ] 7.3498:在双缝干涉实验中,入射光的波长为λ,用玻璃纸遮住双缝中的一个缝,若玻 璃纸中光程比相同厚度的空气的光程大2.5 λ,则屏上原来的明纹处 (A) 仍为明条纹 (B) 变为暗条纹 (C) 既非明纹也非暗纹; (D) 无法确定是明纹,还是暗纹 [ ] 8.3612:在双缝干涉实验中,若单色光源S 到两缝S 1、S 2距离 相等,则观察屏上中央明条纹位于图中O 处。现将光源S 向下移动 到示意图中的S '位置,则 (A) 中央明条纹也向下移动,且条纹间距不变 (B) 中央明条纹向上移动,且条纹间距不变 (C) 中央明条纹向下移动,且条纹间距增大 (D) 中央明条纹向上移动,且条纹间距增大 9.3677:把双缝干涉实验装置放在折射率为n 的水中,两缝间距离为d ,双缝到屏的 距离为D (D >>d ),所用单色光在真空中的波长为λ,则屏上干涉条纹中相邻的明纹之间的距 离是 (A) λD / (nd ) (B) n λD /d (C) λd / (nD ) (D) λD / (2nd ) [ ] )()(111222t n r t n r +-+])1([])1([211222t n r t n r -+--+)()(111222t n r t n r ---1122t n t n - n 1 3λ1 S S ' 3612图

计算机组成原理课设论文

计算机组成原理课程设计 题目:计算机原理课程设计 学院:计算机科学与工程学院 专业:计算机科学与技术 姓名:孤狼 学号:1100310315 指导教师: 2014年03月20 日

目录 一.课程设计选题和完成内容 (2) 二、系统的总体设计 (2) 2.1 嵌入式CISC模型机的数据通路框图 (2) 2.2 系统的操作控制器的逻辑框图 (2) 2.3模型机的指令格式 (3) 2.4编写汇编源程序 (5) 2.5最终机器码 (5) 三、时序产生器 (5) 四、微程序控制器的设计 (6) 4.1设计微指令流程图 (6) 4.2 设计微指令格式和微指令代码表 (8) 4.3设计地址转移逻辑电路 (10) 五、单元电路设计 (10) 5.1模型机顶层电路设计 (11) 5.2模型机crom单元电路设计 (12) 六、设计过程所遇到的问题及解决记录136.1JNS无法完成循环跳转 (13) 6.2STO(STO R2 (R0) )存储位置每次都为00H (13) 七、测试及结果分析 ................................................................................... 错误!未定义书签。 八、心得体会总结 (16) 九、附录 (16) 各个单元的电路设计或VHDL源文件

一.课程设计选题和完成内容 选题:本设计选择题目为A类第一题 题目:设计一台嵌入式CISC模型计算机 采用定长CPU周期、联合控制方式,并运行能完成一定功能的机器语言源程序进行验证,机器语言源程序功能如下: A类(最高成绩为“优”): ●输入包含5个整数(有符号数)的数组M,输出所有负数的平方和。(非卓越班) ●输入包含5个整数(有符号数)的数组M,输出最大负数的绝对值。(非卓越班) ●输入包含10个整数(无符号数)的数组M,输出众数(出现次数最多的数)及其出现的次数。(卓越班) ●输入包含10个整数(无符号数)的数组M,输出中位数。(卓越班) 说明:A类题目的嵌入式模型计算机内必须设计和使用RAM存储器读写数据,相应地需要设计对RAM存储器数据的读写指令,以及对RAM中数组操作必须的寄存器间接寻址方式等。 B类(最高成绩为“良”): ●输入5个整数(有符号数),输出所有负数的平方和。(非卓越班) ●输入5个整数(有符号数),输出最大负数的绝对值。(非卓越班) ●输入5个整数(有符号数),输出它们的平均值,以及小于此平均值的数的个数。(卓越班) 要完成的内容: 1.完成系统的总体设计,画出模型机数据通路框图; 2.设计微程序控制器(CISC模型计算机)的逻辑结构框图; 3.设计机器指令格式和指令系统; 4.设计时序产生器电路; 5.设计所有机器指令的微程序流程图; 6.设计操作 7.的所有单元电路,并用VHDL语言(也可使用GDF文件--图形描述文件) 8. 对模型机中控制器单元; 设计模型机的各个部件进行编程,并使之成为一个统一的整体,即形成顶层电路。 9.由给出的题目和设计的指令系统编写相应的汇编语言源程序; 9.根据设计的指令格式,将汇编语言源程序手工转换成机器语言源程序,并将其设计 到模型机中的ROM中去; 10.使用EDA软件进行功能仿真,要保证其结果满足题目的要求;(其中要利用EDA软

相关主题
文本预览
相关文档 最新文档