当前位置:文档之家› 常用逻辑电平简介

常用逻辑电平简介

常用逻辑电平简介
常用逻辑电平简介

常用逻辑电平简介(转载)

逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、RS422、RS485等。

图1-1:常用逻辑系列器件

TTL:Transistor-Transistor Logic

CMOS:Complementary Metal Oxide Semicondutor

LVTTL:Low Voltage TTL

LVCMOS:Low Voltage CMOS

ECL:Emitter Coupled Logic,

PECL:Pseudo/Positive Emitter Coupled Logic

LVDS:Low Voltage Differential Signaling

GTL:Gunning Transceiver Logic

BTL:Backplane Transceiver Logic

ETL:enhanced transceiver logic

GTLP:Gunning Transceiver Logic Plus

TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等

S - Schottky Logic

LS - Low-Power Schottky Logic

CD4000 - CMOS Logic 4000

AS - Advanced Schottky Logic

74F - Fast Logic

ALS - Advanced Low-Power Schottky Logic

HC/HCT - High-Speed CMOS Logic

BCT - BiCMOS Technology

AC/ACT - Advanced CMOS Logic

FCT - Fast CMOS Technology

ABT - Advanced BiCMOS Technology

LVT - Low-Voltage BiCMOS Technology

LVC - Low Voltage CMOS Technology

LV - Low-Voltage

CBT - Crossbar Technology

ALVC - Advanced Low-Voltage CMOS Technology

AHC/AHCT - Advanced High-Speed CMOS

CBTLV - Low-Voltage Crossbar Technology

ALVT - Advanced Low-Voltage BiCMOS Technology

AVC - Advanced Very-Low-Voltage CMOS Logic

TTL器件和CMOS器件的逻辑电平

:逻辑电平的一些概念

要了解逻辑电平的内容,首先要知道以下几个概念的含义:

1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。

2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,

当输入电平低于Vil时,则认为输入电平为低电平。

3:输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的电平值都必须大于此Voh。

4:输出低电平(Vol):保证逻辑门的输出为低电平时的输出电平的最大值,逻辑门的输出为低电平时的电平值都必须小于此Vol。

5:阀值电平(Vt):数字电路芯片都存在一个阈值电平,就是电路刚刚勉强能翻转动作时的电平。它是一个界于Vil、Vih之间的电压值,对于CMOS电路的阈值电平,基本上是二分之一的电源电压值,但要保证稳定的输出,则必须要求输入高电平> Vih,输入低电平

对于一般的逻辑电平,以上参数的关系如下:

Voh > Vih > Vt > Vil > Vol。

6:Ioh:逻辑门输出为高电平时的负载电流(为拉电流)。

7:Iol:逻辑门输出为低电平时的负载电流(为灌电流)。

8:Iih:逻辑门输入为高电平时的电流(为灌电流)。

9:Iil:逻辑门输入为低电平时的电流(为拉电流)。

门电路输出极在集成单元内不接负载电阻而直接引出作为输出端,这种形式的门称为开路门。开路的TTL、CMOS、ECL门分别称为集电极开路(OC)、漏极开路(OD)、发射极开路(OE),使用时应审查是否接上拉电阻(OC、OD 门)或下拉电阻(OE门),以及电阻阻值是否合适。对于集电极开路(OC)门,其上拉电阻阻值RL应满足下面条件:

(1):RL < (VCC-Voh)/(n*Ioh+m*Iih)

(2):RL > (VCC-Vol)/(Iol+m*Iil)

其中n:线与的开路门数;m:被驱动的输入端数。

:常用的逻辑电平

·逻辑电平:有TTL、CMOS、LVTTL、ECL、PECL、GTL;RS232、RS422、LVDS等。

·其中TTL和CMOS的逻辑电平按典型电压可分为四类:5V系列(5V TTL和5V CMOS)、3.3V系列,2.5V系列和1.8V系列。

·5V TTL和5V CMOS逻辑电平是通用的逻辑电平。

·3.3V及以下的逻辑电平被称为低电压逻辑电平,常用的为LVTTL电平。

·低电压的逻辑电平还有2.5V和1.8V两种。

·ECL/PECL和LVDS是差分输入输出。

·RS-422/485和RS-232是串口的接口标准,RS-422/485是差分输入输出,RS-232是单端输入输出。

TTL和CMOS的逻辑电平关系

图2-1:TTL和CMOS的逻辑电平图

上图为5V TTL逻辑电平、5V CMOS逻辑电平、LVTTL逻辑电平和LVCMOS 逻辑电平的示意图。

5V TTL逻辑电平和5V CMOS逻辑电平是很通用的逻辑电平,注意他们的输入输出电平差别较大,在互连时要特别注意。

另外5V CMOS器件的逻辑电平参数与供电电压有一定关系,一般情况下,Voh≥Vcc-0.2V,Vih≥0.7Vcc;Vol≤0.1V,Vil≤0.3Vcc;噪声容限较TTL电平高。JEDEC组织在定义3.3V的逻辑电平标准时,定义了LVTTL和LVCMOS逻辑

电平标准。

LVTTL逻辑电平标准的输入输出电平与5V TTL逻辑电平标准的输入输出电平

很接近,从而给它们之间的互连带来了方便。LVTTL逻辑电平定义的工作电压范围是3.0-3.6V。

LVCMOS逻辑电平标准是从5V CMOS逻辑电平关注移植过来的,所以它的Vih、Vil和Voh、Vol与工作电压有关,其值如上图所示。LVCMOS逻辑电平定义的工作电压范围是2.7-3.6V。

5V的CMOS逻辑器件工作于3.3V时,其输入输出逻辑电平即为LVCMOS逻

辑电平,它的Vih大约为0.7×VCC=2.31V左右,由于此电平与LVTTL的Voh (2.4V)之间的电压差太小,使逻辑器件工作不稳定性增加,所以一般不推荐使用5V CMOS器件工作于3.3V电压的工作方式。由于相同的原因,使用LVCMOS 输入电平参数的3.3V逻辑器件也很少。

JEDEC组织为了加强在3.3V上各种逻辑器件的互连和3.3V与5V逻辑器件的

互连,在参考LVCMOS和LVTTL逻辑电平标准的基础上,又定义了一种标准,其名称即为3.3V逻辑电平标准,其参数如下:

图2-2:低电压逻辑电平标准

从上图可以看出,3.3V逻辑电平标准的参数其实和LVTTL逻辑电平标准的参数差别不大,只是它定义的Vol可以很低(0.2V),另外,它还定义了其Voh最

高可以到VCC-0.2V,所以3.3V逻辑电平标准可以包容LVCMOS的输出电平。在实际使用当中,对LVTTL标准和3.3V逻辑电平标准并不太区分,某些地方用LVTTL电平标准来替代3.3V逻辑电平标准,一般是可以的。

JEDEC组织还定义了2.5V逻辑电平标准,如上图所示。另外,还有一种2.5V CMOS逻辑电平标准,它与上图的2.5V逻辑电平标准差别不大,可兼容。

低电压的逻辑电平还有1.8V、1.5V、1.2V的逻辑电平。

、TTL和CMOS逻辑器件

逻辑器件的分类方法有很多,下面以逻辑器件的功能、工艺特点和逻辑电平等方法来进行简单描述。

:TTL和CMOS器件的功能分类

按功能进行划分,逻辑器件可以大概分为以下几类:门电路和反相器、选择器、译码器、计数器、寄存器、触发器、锁存器、缓冲驱动器、收发器、总线开关、背板驱动器等。

1:门电路和反相器

逻辑门主要有与门74X08、与非门74X00、或门74X32、或非门74X02、异或门74X86、反相器74X04等。

2:选择器

选择器主要有2-1、4-1、8-1选择器74X157、74X153、74X151等。

3:编/译码器

编/译码器主要有2/4、3/8和4/16译码器74X139、74X138、74X154等。

4:计数器

计数器主要有同步计数器74X161和异步计数器74X393等。

5:寄存器

寄存器主要有串-并移位寄存器74X164和并-串寄存器74X165等。

6:触发器

触发器主要有J-K触发器、带三态的D触发器74X374、不带三态的D触发器74X74、施密特触发器等。

7:锁存器

锁存器主要有D型锁存器74X373、寻址锁存器74X259等。

8:缓冲驱动器

缓冲驱动器主要有带反向的缓冲驱动器74X240和不带反向的缓冲驱动器

74X244等。

9:收发器

收发器主要有寄存器收发器74X543、通用收发器74X245、总线收发器等。10:总线开关

总线开关主要包括总线交换和通用总线器件等。

11:背板驱动器

背板驱动器主要包括TTL或LVTTL电平与GTL/GTL+(GTLP)或BTL之间的电平转换器件。

:TTL和CMOS逻辑器件的工艺分类特点

按工艺特点进行划分,逻辑器件可以分为Bipolar、CMOS、BiCMOS等工艺,其中包括器件系列有:

Bipolar(双极)工艺的器件有:TTL、S、LS、AS、F、ALS。

CMOS工艺的器件有:HC、HCT、CD40000、ACL、FCT、LVC、LV、CBT、ALVC、AHC、AHCT、CBTLV、AVC、GTLP。

BiCMOS工艺的器件有:BCT、ABT、LVT、ALVT。

:TTL和CMOS逻辑器件的电平分类特点

TTL和CMOS的电平主要有以下几种:5VTTL、5VCMOS(Vih≥0.7*Vcc,Vil≤0.3*Vcc)、3.3V电平、2.5V电平等。

5V的逻辑器件

5V器件包含TTL、S、LS、ALS、AS、HCT、HC、BCT、74F、ACT、AC、AHCT、AHC、ABT等系列器件

3.3V及以下的逻辑器件

包含LV的和V 系列及AHC和AC系列,主要有LV、AHC、AC、ALB、LVC、ALVC、LVT等系列器件。

具体情况可以参考下图:

图3-1:TI公司的逻辑器件示例图

:包含特殊功能的逻辑器件

A.总线保持功能(Bus hold)

由内部反馈电路保持输入端最后的确定状态,防止因输入端浮空的不确定而导致器件振荡自激损坏;输入端无需外接上拉或下拉电阻,节省PCB空间,降低了器件成本开销和功耗,见图6-3。ABT、LVT、ALVC、ALVCH、ALVTH、LVC、GTL系列器件有此功能。命名特征为附加了“H”如:74ABTH16244。

图3-2:总线保持功能图图3-3:串行阻尼电阻图

B.串联阻尼电阻(series damping resistors)

输出端加入串联阻尼电阻可以限流,有助于降低信号上冲/下冲噪声,消除线路振铃,改善信号质量。如图6-4所示。具有此特征的ABT、LVC、LVT、ALVC

系列器件在命名中加入了“2”或“R”以示区别,如ABT162245,ALVCHR162245。对于单向驱动器件,串联电阻加在其输出端,命名如SN74LVC2244;对于双向的收发器件,串联电阻加在两边的输出端,命名如SN74LVCR2245。

C.上电/掉电三态(PU3S,Power up/power down 3-state)

即热拔插性能。上电/掉电时器件输出端为三态,Vcc阀值为2.1V;应用于热拔插器件/板卡产品,确保拔插状态时输出数据的完整性。多数ABT、LVC、LVT、LVTH系列器件有此特征。

D.ABT 器件(Advanced BiCMOS Technology)

结合了CMOS器件(如HC/HCT、LV/LVC、ALVC、AHC/AHCT)的高输入阻抗特性和双极性器件(Bipolar,如TTL、LS、AS、ALS)输出驱动能力强的特点。包括ABT、LVT、ALVT等系列器件,应用于低电压,低静态功耗环境。E.Vcc/GND对称分布

16位Widebus器件的重要特征,对称配置引脚,有利于改善噪声性能。

AHC/AHCT、AVT、AC/ACT、CBT、LVT、ALVC、LVC、ALB系列16位Widebus 器件有此特征。

F.分离轨器件(Split-rail)

即双电源器件,具有两种电源输入引脚VccA和VccB,可分别接5V或3.3V电源电压。如ALVC164245、LVC4245等,命名特征为附加了“4”。

逻辑器件的使用指南

1:多余不用输入管脚的处理

在多数情况下,集成电路芯片的管脚不会全部被使用。例如74ABT16244系列器件最多可以使用16路I/O管脚,但实际上通常不会全部使用,这样就会存在悬空端子。所有数字逻辑器件的无用端子必须连接到一个高电平或低电平,以防止电流漂移(具有总线保持功能的器件无需处理不用输入管脚)。究竟上拉还是下拉由实际器件在何种方式下功耗最低确定。244、16244经测试在接高电平时静态功耗较小,而接地时静态功耗较大,故建议其无用端子处理以通过电阻接电源为好,电阻值推荐为1~10K。

2:选择板内驱动器件的驱动能力,速度,不能盲目追求大驱动能力和高速的器件,应该选择能够满足设计要求,同时有一定的余量的器件,这样可以减少信号过冲,改善信号质量。并且在设计时必须考虑信号匹配。

3:在对驱动能力和速度要求较高的场合,如高速总线型信号线,可使用ABT、LVT系列。板间接口选择ABT16244/245或LVTH16244/245,并在母板两端匹配,在不影响速度的条件下与母板接口尽量串阻,以抑制过冲、保护器件,典型电阻值为10- 200Ω左右,另外,也可以使用并接二级管来进行处理,效果也不错,如1N4148等(抗冲击较好)。

4:在总线达到产生传输线效应的长度后,应考虑对传输线进行匹配,一般采用的方式有始端匹配、终端匹配等。

始端匹配是在芯片的输出端串接电阻,目的是防止信号畸变和地弹反射,特别当总线要透过接插件时,尤其须做始端匹配。内部带串联阻尼电阻的器件相当于始端匹配,由于其阻值固定,无法根据实际情况进行调整,在多数场合对于改善信号质量收效不大,故此不建议推荐使用。始端匹配推荐电阻值为10~51 Ω,在实际使用中可根据IBIS模型模拟仿真确定其具体值。

由于终端匹配网络加重了总线负载,所以不应该因为匹配而使Buffer的实际驱

动电流大于驱动器件所能提供的最大Source、Sink电流值。

应选择正确的终端匹配网络,使总线即使在没有任何驱动源时,其线电压仍能保持在稳定的高电平。

5:要注意高速驱动器件的电源滤波。如ABT、LVT系列芯片在布线时,建议在芯片的四组电源引脚附近分别接0.1 μ或0.01 μ电容。

6:可编程器件任何电源引脚、地线引脚均不能悬空;在每个可编程器件的电源和地间要并接0.1uF的去耦电容,去耦电容尽量靠近电源引脚,并与地形成尽可能小的环路。

7:收发总线需有上拉电阻或上下拉电阻,保证总线浮空时能处于一个有效电平,以减小功耗和干扰。

8:373/374/273等器件为工作可靠,锁存时钟输入建议串入10-200欧电阻。9:时钟、复位等引脚输入往往要求较高电平,必要时可上拉电阻。

10:注意不同系列器件是否有带电插拔功能及应用设计中的注意事项,在设计

带电插拔电路时请参考公司的《单板带电插拔设计规范》。

11:注意电平接口的兼容性。选用器件时要注意电平信号类型,对于有不同逻辑电平互连的情况,请遵守本规范的相应的章节的具体要求。

12:在器件工作过程中,为保证器件安全运行,器件引脚上的电压及电流应严格控制在器件手册指定的范围内。逻辑器件的工作电压不要超出它所允许的范围。13:逻辑器件的输入信号不要超过它所能允许的电压输入范围,不然可能会导

致芯片性能下降甚至损坏逻辑器件。

14:对开关量输入应串电阻,以避免过压损坏。

15:对于带有缓冲器的器件不要用于线性电路,如放大器。

、TTL、CMOS器件的互连

:器件的互连总则

在公司产品的某些单板上,有时需要在某些逻辑电平的器件之间进行互连。在不同逻辑电平器件之间进行互连时主要考虑以下几点:

1:电平关系,必须保证在各自的电平范围内工作,否则,不能满足正常逻辑功能,严重时会烧毁芯片。

2:驱动能力,必须根据器件的特性参数仔细考虑,计算和试验,否则很可能造成隐患,在电源波动,受到干扰时系统就会崩溃。

3:时延特性,在高速信号进行逻辑电平转换时,会带来较大的延时,设计时一定要充分考虑其容限。

4:选用电平转换逻辑芯片时应慎重考虑,反复对比。通常逻辑电平转换芯片为通用转换芯片,可靠性高,设计方便,简化了电路,但对于具体的设计电路一定要考虑以上三种情况,合理选用。

对于数字电路来说,各种器件所需的输入电流、输出驱动电流不同,为了驱动大电流器件、远距离传输、同时驱动多个器件,都需要审查电流驱动能力:输出电流应大于负载所需输入电流;另一方面,TTL、CMOS、ECL等输入、输出电平标准不一致,同时采用上述多种器件时应考虑电平之间的转换问题。

我们在电路设计中经常遇到不同的逻辑电平之间的互连,不同的互连方法对电路造成以下影响:

·对逻辑电平的影响。应保证合格的噪声容限(Vohmin-Vihmin≥0.4V,Vilmax

-Volmax ≥0.4V),并且输出电压不超过输入电压允许范围。

·对上升/下降时间的影响。应保证Tplh和Tphl满足电路时序关系的要求和EMC

的要求。

·对电压过冲的影响。过冲不应超出器件允许电压绝对最大值,否则有可能导致器件损坏。

TTL和CMOS的逻辑电平关系如下图所示:

图4-1:TTL和CMOS的逻辑电平关系图

图4-2:低电压逻辑电平标准

3.3V的逻辑电平标准如前面所述有三种,实际的3.3V TTL/CMOS逻辑器件的输入电平参数一般都使用LVTTL或3.3V逻辑电平标准(一般很少使用LVCMOS 输入电平),输出电平参数在小电流负载时高低电平可分别接近电源电压和地电平(类似LVCMOS输出电平),在大电流负载时输出电平参数则接近LVTTL

电平参数,所以输出电平参数也可归入3.3V逻辑电平,另外,一些公司的手册中将其归纳如LVTTL的输出逻辑电平,也可以。

在下面讨论逻辑电平的互连时,对3.3V TTL/CMOS的逻辑电平,我们就指的是3.3V逻辑电平或LVTTL逻辑电平。

常用的TTL和CMOS逻辑电平分类有:5V TTL、5V CMOS、3.3V TTL/CMOS、3.3V/5V Tol.、和OC/OD门。

其中:

3.3V/5V Tol.是指输入是3.3V逻辑电平,但可以忍受5V电压的信号输入。

3.3V TTL/CMOS逻辑电平表示不能输入5V信号的逻辑电平,否则会出问题。注意某些5V的CMOS逻辑器件,它也可以工作于3.3V的电压,但它与真正的3.3V器件(是LVTTL逻辑电平)不同,比如其VIH是2.31V(=0.7×3.3V,工作于3.3V)(其实是LVCMOS逻辑输入电平),而不是2.0V,因而与真正的3.3V器件互连时工作不太可靠,使用时要特别注意,在设计时最好不要采用这类工作方式。

值得注意的是有些器件有单独的输入或输出电压管脚,此管脚接3.3V的电压时,器件的输入或输出逻辑电平为3.3V的逻辑电平信号,而当它接5V电压时,输入或输出的逻辑电平为5V的逻辑电平信号,此时应该按该管脚上接的电压的值来确定输入和输出的逻辑电平属于哪种分类。

对于可编程器件(EPLD和FPGA)的互连也要根据器件本身的特点并参考本章节的内容进行处理。

以上5种逻辑电平类型之间的驱动关系如下表:

输入

5V TTL 3.3V /5V Tol. 3.3V TTL/CMOS 5V CMOS

输出5V TTL √ √ ?/FONT> ?/FONT>

3.3V TTL/CMOS √ √ √ ?/FONT>

5V CMOS √ √ ?/FONT> √

OC/OD 上拉上拉上拉上拉

上表中打钩(√)的表示逻辑电平直接互连没有问题,打星号(?/FONT>)的表示要做特别处理。

对于打星号(?/FONT>)的逻辑电平的互连情况,具体见后面说明。

一般对于高逻辑电平驱动低逻辑电平的情况如简单处理估计可以通过串接10-1K欧的电阻来实现,具体阻值可以通过试验确定,如为可靠起见,可参考后面推荐的接法。

从上表可看出OC/OD输出加上拉电阻可以驱动所有逻辑电平,5V TTL和3.3V

/5V Tol.可以被所有逻辑电平驱动。所以如果您的可编程逻辑器件有富裕的管脚,优先使用其OC/OD输出加上拉电阻实现逻辑电平转换;其次才用以下专门的逻辑器件转换。

对于其他的不能直接互连的逻辑电平,可用下列逻辑器件进行处理,详细见后面5.2到5.5节。

TI的AHCT系列器件为5V TTL输入、5V CMOS输出。

TI的LVC/LVT系列器件为TTL/CMOS逻辑电平输入、3.3V TTL(LVTTL)输出,也可以用双轨器件替代。

注意:不是所有的LVC/LVT系列器件都能够运行5V TTL/CMOS输入,一般只有带后缀A的和LVCH/LVTH系列的可以,具体可以参考其器件手册。

:5V TTL门作驱动源

·驱动3.3V TTL/CMOS

通过LVC/LVT系列器件(为TTL/CMOS逻辑电平输入,LVTTL逻辑电平输出)进行转换。

·驱动5V CMOS

可以使用上拉5V电阻的方式解决,或者使用AHCT系列器件(为5V TTL输入、5V CMOS输出)进行转换。

:3.3V TTL/CMOS门作驱动源

·驱动5V CMOS

使用AHCT系列器件(为5V TTL输入、5V CMOS输出)进行转换(3.3V TTL 电平(LVTTL)与5V TTL电平可以互连)。

:5V CMOS门作驱动源

·驱动3.3V TTL/CMOS

通过LVC/LVT器件(输入是TTL/CMOS逻辑电平,输出是LVTTL逻辑电平)进行转换。

:2.5V CMOS逻辑电平的互连

随着芯片技术的发展,未来使用2.5V电压的芯片和逻辑器件也会越来越多,这里简单谈一下2.5V逻辑电平与其他电平的互连,主要是谈一下2.5V逻辑电平与3.3V逻辑电平的互连。(注意:对于某些芯片,由于采用了优化设计,它的2.5V管脚的逻辑电平可以和3.3V的逻辑电平互连,此时就不需要再进行逻辑电平的转换了。)

1:3.3V TTL/CMOS逻辑电平驱动2.5V CMOS逻辑电平

2.5V的逻辑器件有LV、LVC、AVC、ALVT、ALVC等系列,其中前面四种系列器件工作在2.5V时可以容忍

3.3V的电平信号输入,而ALVC不行,所以可以使用LV、LVC、AVC、ALVT系列器件来进行3.3V TTL/CMOS逻辑电平到2.5V CMOS逻辑电平的转换。

2:2.5V CMOS逻辑电平驱动3.3V TTL/CMOS逻辑电平

2.5V CMOS逻辑电平的VOH为2.0V,而

3.3V TTL/CMOS的逻辑电平的VIH 也为2.0V,所以直接互连的话可能会出问题(除非3.3V的芯片本身的VIH参数明确降低了)。此时可以使用双轨器件SN74LVCC3245A来进行2.5V逻辑电平到3.3V逻辑电平的转换,另外,使用OC/OD们加上拉电阻应该也是可以的。

EPLD和FPGA器件的逻辑电平

:概述

首先在选择可编程逻辑器件时,要找符合你所选用的ASSP的IO标准;其次,你必须考虑的是:目前,随着系统性能的不断提高,传统的TTL、LVTTL、CMOS、LVCMOS等单端接口标准越来越不能满足要求,特别是在背板方面。因为,这

些单端信号的信号完整性在系统设计时很难保证,以至于导致系统的不可靠工作。这一点在时钟方面尤为重要,因为,在同步设计的今天,时钟是系统工作的基础。当然,差分信号是最好的选择,比如:LVDS、LVPECL等。但是,这些信号标准一个通道需要一对IO_PIN,这在许多应用情况下不太划算。此时,一些比较容易实现阻抗匹配的单端信号标准是较好的选择,比如:GTL、GTL+等。

:各类可编程器件接口电平要求

在设计中,若同时使用了不同工作电压等级的多个可编程器件,要注意它们之间信号的接口规范。比如,5V的器件驱动3.3V的器件时,可能会出现:当5V的高电平连到3.3V的输入时,由于大部分的CMOS的输入信号管脚都有连到电源Vcc的钳位二极管,大于3.3伏的输入高电平会使该钳位二极管出现问题。

事实上,由于有些系列的可编程器件如XILINX的XC4000XL,XC4000XV,Spartan-XL采用了特殊的技术,可以避免这种情况的发生。因此该系列的器件

可以在不同工作电压之间互相连接。

对于2.5V的器件,由于可以选择相关的输入参考电压和输出的电压基准,因此可以通过相关的电压数值的选取,对照3.3V的器件来使用。

对于某类器件,如ALTERA公司的FLEX10K系列器件,可支持多电压I/O接口,FLEX10K,FLEX10KA,FLEX10B都可以接不同电源电压系统。

常用电平及接口电平

常用电平及接口电平

目录 一.常用逻辑电平标准 (3) 1.1 COMS电平 (4) 1.2 LVCOMS电平 (5) 2.1 TTL电平 (5) 2.2 LVTTL电平 (5) 3.1 LVDS电平 (6) 4.1 PECL(VCC=5V)/LVPECL(VCC=3.3V)电平 (7) 5.1 CML电平 (7) 6.1 VML电平 (7) 7.1 HSTL电平 (8) 7.2 SSTL电平 (8) 二.常用接口电平标准 (9) 1. RS232、RS485、 RS422 (9) 2 DDR1 ,DDR2,DDR3 (10) 3 PCIE2. 0、PCIE3.0 (11) 4 USB2.0, USB3.0 (13) 5 SATA2.0, SATA3.0 (14) 6 GTX高速接口 (14)

一.常用逻辑电平标准 附图1: 附图2:

附图3: 附图4: 1.1 COMS电平 电平参数条件最大值典型值最小值单位备注电源电压(VCC) 5.5 5 4.5 V 输入高压(VIH) 3.5 V 输入低压(VIL) 1.5 V 输出高压(VOH) 4.44 V 输出低压(VOL)0.5 V 共模电压(VT) 2.5 V

传输延迟时间(25-50ns) 最高速率 耦合方式 1.2 LVCOMS电平 LVCOMS电平参数条件最大值典型值最小值单位备注电源电压(VCC) 3.6 3.3 2.7 V 输入高压(VIH)0.7VCC V 输入低压(VIL) 0.2VCC V 输出高压(VOH) VCC-0.1 V 输出低压(VOL)0.1 V 共模电压(VT)0.5VCC V 最高速率 耦合方式 2.1 TTL电平 电平参数条件最大值典型值最小值单位备注电源电压(VCC) 5.5 5 4.5 V 输入高压(VIH) 2 V 输入低压(VIL) 0.8 V 输出高压(VOH) 2.4 V 输出低压(VOL)0.5 V 共模电压(VT) 1.5 V 传输延迟时间(5-10ns), 最高速率 耦合方式 2.2 LVTTL电平 电平参数条件最大值典型值最小值单位备注

几种常用逻辑电平电路的特点及应用

几种常用逻辑电平电路的特点及应用 2007-08-13 来源: 作者: LVDS(Low Voltage Differential Signal)低电压差分信号、ECL(EmitterCoupled Logic)即射极耦合逻辑、CML电平等各种逻辑电平的特点以及接口应用。 在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。 1 几种常用高速逻辑电平 1.1LVDS电平 LVDS(Low V oltage Differential Signal)即低电压差分信号,LVDS接口又称RS644总线接口,是20世纪90年代才出现的一种数据传输和接口技术。 LVDS的典型工作原理如图1所示。最基本的LVDS器件就是LVDS驱动器和接收器。LVDS的驱动器由驱动差分线对的电流源组成,电流通常为3.5 mA。LVDS 接收器具有很高的输入阻抗,因此驱动器输出的大部分电流都流过100 Ω的匹配电阻,并在接收器的输入端产生大约350 mV的电压。当驱动器翻转时,它改变流经电阻的电流方向,因此产生有效的逻辑“1”和逻辑“0”状态。 图1LVDS驱动器与接收器互连示意 LVDS技术在两个标准中被定义:ANSI/TIA/EIA644 (1995年11月通过)和IEEE P1596.3 (1996年3月通过)。这两个标准中都着重定义了LVDS的电特性,包括:①低摆幅(约为350 mV)。低电流驱动模式意味着可实现高速传输。ANSI/TIA/EIA644建议了655 Mb/s的最大速率和1.923 Gb/s的无失真通道上的理论极限速率。 ②低压摆幅。恒流源电流驱动,把输出电流限制到约为3.5 mA左右,使跳变期间的尖峰干扰最小,因而产生的功耗非常小。这允许集成电路密度的进一步提高,即提高了PCB板的效能,减少了成本。 ③具有相对较慢的边缘速率(dV/dt约为0.300 V/0.3 ns,即为1 V/ns),同时采用差

RS232、RS485、RS422电平-及常见逻辑电平标准

RS232、RS485、RS422电平,及常见逻辑电平标准 RS232电平或者说串口电平,有的甚至说计算机电平,所有的这些说法,指得都是计算机9针串口(RS232)的电平,采用负逻辑, -15v ~ -3v 代表1 +3v ~ +15v 代表0 RS485电平和RS422电平由于两者均采用差分传输(平衡传输)的方式,所以他们的电平方式,一般有两个引脚 A,B 发送端 AB间的电压差 +2 ~+6v 1 -2 ~-6v 0 接收端 AB间的电压差 大于+200mv 1 小于-200mv 0 定义逻辑1为B>A的状态 定义逻辑0为A>B的状态 AB之间的电压差不小于200mv 一对一的接头的情况下 RS232 可做到双向传输,全双工通讯最高传输速率 20kbps 422 只能做到单向传输,半双工通讯,最高传输速率10Mbps 485 双向传输,半双工通讯, 最高传输速率10Mbps

常见逻辑电平标准 下面总结一下各电平标准。和新手以及有需要的人共享一下^_^. 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的 LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用就先不讲了。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻;TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。 CMOS:Complementary Metal Oxide Semiconductor PMOS+NMOS。Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。 3.3V LVCMOS: Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;VIH>=2.0V;VIL<=0.7V。 2.5V LVCMOS: Vcc:2.5V;VOH>=2V;VOL<=0.1V;VIH>=1.7V;VIL<=0.7V。 CMOS使用注意:CMOS结构内部寄生有可控硅结构,当输入或输入管脚高于VCC一定值(比如一些芯片是0.7V)时,电流足够大的话,可能引起闩锁效应,导致芯片的烧毁。

解逻辑电平知识集合

要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil时,则认为输入电平为低电平。 3:输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的电平值都必须大于此Voh。 4:输出低电平(Vol):保证逻辑门的输出为低电平时的输出电平的最大值,逻辑门的输出为低电平时的电平值都必须小于此Vol。 5:阀值电平(Vt):数字电路芯片都存在一个阈值电平,就是电路刚刚勉强能翻转动作时的电平。它是一个界于Vil、Vih之间的电压值,对于CMOS电路的阈值电平,基本上是二分之一的电源电压值,但要保证稳定的输出,则必须要求输入高电平> Vih,输入低电平 Vih > Vt > Vil > Vol。 6:Ioh:逻辑门输出为高电平时的负载电流(为拉电流)。 7:Iol:逻辑门输出为低电平时的负载电流(为灌电流)。 8:Iih:逻辑门输入为高电平时的电流(为灌电流)。 9:Iil:逻辑门输入为低电平时的电流(为拉电流)。 门电路输出极在集成单元内不接负载电阻而直接引出作为输出端,这种形式的门称为开路门。开路的TTL、CMOS、ECL门分别称为集电极开路(OC)、漏极开路(OD)、发射极开路(OE),使用时应审查是否接上拉电阻(OC、OD门)或下拉电阻(OE门),以及电阻阻值是否合适。对于集电极开路(OC)门,其上拉电阻阻值RL应满足下面条件: (1):RL < (VCC-Voh)/(n*Ioh+m*Iih) (2):RL > (VCC-Vol)/(Iol+m*Iil) 其中n:线与的开路门数;m:被驱动的输入端数。 :常用的逻辑电平 ·逻辑电平:有TTL、CMOS、LVTTL、ECL、PECL、GTL;RS232、RS422、LVDS等。 ·其中TTL和CMOS的逻辑电平按典型电压可分为四类:5V系列(5V TTL和5V CMOS)、3.3V系列,2.5V系列和1.8V系列。 ·5V TTL和5V CMOS逻辑电平是通用的逻辑电平。 ·3.3V及以下的逻辑电平被称为低电压逻辑电平,常用的为LVTTL电平。 ·低电压的逻辑电平还有2.5V和1.8V两种。 ·ECL/PECL和LVDS是差分输入输出。 ·RS-422/485和RS-232是串口的接口标准,RS-422/485是差分输入输出,RS-232是单端输入输出。1.电平的上限和下限定义不一样,CMOS具有更大的抗噪区域。 同是5伏供电的话,ttl一般是1.7V和3.5V的样子,CMOS一般是 2.2V,2.9V的样子,不准确,仅供参考。 2。电流驱动能力不一样,ttl一般提供25毫安的驱动能力,而

华为逻辑电平接口设计规范

Q/DKBA 深圳市华为技术有限公司技术规范 错误!未定义书签。Q/DKBA0.200.035-2000 逻辑电平接口设计规范

2000-06-20发布 2000-06-20实施深圳市华为技术有限公司发布

本规范起草单位:各业务部、研究技术管理处硬件工程室。 本规范主要起草人如下:赵光耀、钱民、蔡常天、容庆安、朱志明,方光祥、王云飞。 在规范的起草过程中,李东原、陈卫中、梅泽良、邢小昱、李德、梁军、何其慧、甘云慧等提出了很好的建议。在此,表示感谢! 本规范批准人:周代琪 本规范解释权属于华为技术有限公司研究技术管理处硬件工程室。 本规范修改记录:

目录 1、目的 5 2、范围 5 3、名词定义 5 4、引用标准和参考资料 6 5、TTL器件和CMOS器件的逻辑电平8 5.1:逻辑电平的一些概念8 5.2:常用的逻辑电平9 5.3:TTL和CMOS器件的原理和输入输出特 性9 5.4:TTL和CMOS的逻辑电平关系10 6、TTL和CMOS逻辑器件12 6.1:TTL和CMOS器件的功能分类12 6.2:TTL和MOS逻辑器件的工艺分类特点13 6.3:TTL和CMOS逻辑器件的电平分类特点13 6.4:包含特殊功能的逻辑器件14 6.5:TTL和CMOS逻辑器件的选择15 6.6:逻辑器件的使用指南15 7、TTL、CMOS器件的互连17 7.1:器件的互连总则17 7.2:5V TTL门作驱动源20 7.3:3.3V TTL/CMOS门作驱动源20 7.4:5V CMOS门作驱动源20 7.5:2.5V CMOS逻辑电平的互连20 8、EPLD和FPGA器件的逻辑电平21 8.1:概述21 8.2:各类可编程器件接口电平要求21 8.3:各类可编程器件接口电平要求21 8.3.1:EPLD/CPLD的接口电平21 8.3.2:FPGA接口电平25 9、ECL器件的原理和特点35 9.1:ECL器件的原理35 9.2:ECL电路的特性36 9.3:PECL/LVPECL器件的原理和特点37 9.4:ECL器件的互连38 9.4.1:ECL器件和TTL器件的互连38 9.4.2:ECL器件和其他器件的互连39 9.5:ECL器件的匹配方式39 9.6:ECL器件的使用举例41 9.6.1:SYS100E111的设计41 9.6.2:SY100E57的设计42 9.1:ECL电路的器件选择43 9.2:ECL器件的使用原则43

各种逻辑电平标准

各种逻辑电平标准 在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。 5V TTL和5V CMOS逻辑电平是通用的逻辑电平。·3.3V及以下的逻辑电平被称为低电压逻辑电平,常用的为LVTTL电平。·低电压的逻辑电平还有2.5V和1.8V两种。·ECL/PECL和LVDS是差分输入输出。·RS-422/485和RS-232是串口的接口标准,RS-422/485是差分输入 常用电平标准 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL 等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻; TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。 CMOS:Complementary Metal Oxide Semiconductor PMOS+NMOS。 Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。

常用逻辑电平简介讲解学习

常用逻辑电平简介(转载) 逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、RS422、RS485等。 图1-1:常用逻辑系列器件 TTL:Transistor-Transistor Logic CMOS:Complementary Metal Oxide Semicondutor LVTTL:Low Voltage TTL LVCMOS:Low Voltage CMOS ECL:Emitter Coupled Logic, PECL:Pseudo/Positive Emitter Coupled Logic LVDS:Low Voltage Differential Signaling GTL:Gunning Transceiver Logic BTL:Backplane Transceiver Logic ETL:enhanced transceiver logic GTLP:Gunning Transceiver Logic Plus TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等 S - Schottky Logic LS - Low-Power Schottky Logic CD4000 - CMOS Logic 4000 AS - Advanced Schottky Logic 74F - Fast Logic ALS - Advanced Low-Power Schottky Logic HC/HCT - High-Speed CMOS Logic BCT - BiCMOS Technology AC/ACT - Advanced CMOS Logic FCT - Fast CMOS Technology ABT - Advanced BiCMOS Technology LVT - Low-Voltage BiCMOS Technology LVC - Low Voltage CMOS Technology LV - Low-Voltage CBT - Crossbar Technology ALVC - Advanced Low-Voltage CMOS Technology AHC/AHCT - Advanced High-Speed CMOS CBTLV - Low-Voltage Crossbar Technology ALVT - Advanced Low-Voltage BiCMOS Technology AVC - Advanced Very-Low-Voltage CMOS Logic TTL器件和CMOS器件的逻辑电平 :逻辑电平的一些概念 要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,

几种常用逻辑电平电路的特点及应用

几种常用逻辑电平电路的特点及应用 发布时间:2005-12-25 来源:应用领域:邮电 ONT face=Verdana> 引言 在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。 1 几种常用高速逻辑电平 1.1LVDS电平 LVDS(Low Voltage Differential Signal)即低电压差分信号,LVDS接口又称RS644总线接口,是20世纪90年代才出现的一种数据传输和接口技术。 LVDS的典型工作原理如图1所示。最基本的LVDS器件就是LVDS驱动器和接收器。LVDS的驱动器由驱动差分线对的电流源组成,电流通常为3.5 mA。LVDS接收器具有很高的输入阻抗,因此驱动器输出的大部分电流都流过100 Ω的匹配电阻,并在接收器的输入端产生大约350 mV的电压。当驱动器翻转时,它改变流经电阻的电流方向,因此产生有效的逻辑“1”和逻辑“0”状态。 LVDS技术在两个标准中被定义:ANSI/TIA/EIA644 (1995年11月通过)和IEEE P1596.3 (1996年3月通过)。这两个标准中都着重定义了LVDS的电特性,包括: ①低摆幅(约为350 mV)。低电流驱动模式意味着可实现高速传输。 ANSI/TIA/EIA644建议了655 Mb/s的最大速率和1.923 Gb/s的无失真通道上的理论极限速率。 ②低压摆幅。恒流源电流驱动,把输出电流限制到约为3.5 mA左右,使跳变期间的尖峰干扰最小,因而产生的功耗非常小。这允许集成电路密度的进一步提高,即提高了PCB 板的效能,减少了成本。 ③具有相对较慢的边缘速率(dV/dt约为0.300 V/0.3 ns,即为1 V/ns),同时采用差分传输形式,使其信号噪声和EMI都大为减少,同时也具有较强的抗干扰能力。 所以,LVDS具有高速、超低功耗、低噪声和低成本的优良特性。 LVDS的应用模式可以有四种形式: ①单向点对点(point to point),这是典型的应用模式。 ②双向点对点(point to point),能通过一对双绞线实现双向的半双工通信。可以由标准的LVDS的驱动器和接收器构成;但更好的办法是采用总线LVDS驱动器,即BLVDS,这是为总线两端都接负载而设计的。 ③多分支形式(multidrop),即一个驱动器连接多个接收器。当有相同的数据要传给多个负载时,可以采用这种应用形式。④多点结构(multipoint)。此时多点总线支持多个驱动器,也可以采用BLVDS驱动器。它可以提供双向的半双工通信,但是在任一时刻,

各种逻辑电平介绍

1X9非对称: 应用领域: 视频光端机,各类光纤监控系统。 视频信号(高速)采用PECL电平,控制信号84M以下(低速)采用TTL电平,155M以上采用PECL 电平 ECL电路是射极耦合逻辑,ECL电路的最大 优点是具有相当高的速度这种电路的平均延迟时间可达几个毫微秒甚至亚毫微秒数 量级,这使得ECL集成电路在高速和超高速数字系统中充当无以匹敌的角色。 各种电平标准的讨论(TTL,ECL,PECL,LVDS、CMOS、CML.......)已有 601 次阅读2008-9-24 14:30|个人分类:网摘-技术活儿 ECL电路是射极耦合逻辑(Emitter Couple Logic)集成电路的简称与TTL电路 不同,ECL电路的最大特点是其基本门电路工作在非饱和状态所以,ECL 电路的最大 优点是具有相当高的速度这种电路的平均延迟时间可达几个毫微秒甚至亚毫微秒数 量级,这使得ECL集成电路在高速和超高速数字系统中充当无以匹敌的角色。 ECL电路的逻辑摆幅较小(仅约 0.8V ,而 TTL 的逻辑摆幅约为 2.0V ),当 电路从一种状态过渡到另一种状态时,对寄生电容的充放电时间将减少,这也是 ECL电路具有高开关速度的重要原因。但逻辑摆幅小,对抗干扰能力不利。 由于单元门的开关管对是轮流导通的,对整个电路来讲没有“截止”状态,所

以单元电路的功耗较大。 从电路的逻辑功能来看, ECL 集成电路具有互补的输出,这意味着同时可以获 得两种逻辑电平输出,这将大大简化逻辑系统的设计。 ECL集成电路的开关管对的发射极具有很大的反馈电阻,又是射极跟随器输出, 故这种电路具有很高的输入阻抗和低的输出阻抗。射极跟随器输出同时还具有对逻 辑信号的缓冲作用。 在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。 1 几种常用高速逻辑电平 1.1LVDS电平 LVDS(Low Voltage Differential Signal)即低电压差分信号,LVDS 接口又称RS644总线接口,是20世纪90年代才出现的一种数据传输和接口技术。 LVDS的典型工作原理如图1所示。最基本的LVDS器件就是LVDS驱动器和接收器。LVDS的驱动器由驱动差分线对的电流源组成,电流通常为3.5 mA。LVDS接收器具有很高的输入阻抗,因此驱动器输出的大部分电流都流过100 Ω的匹配电阻,并在接收器的输入端产生大约350 mV的电压。当驱动器翻转时,它改变流经电阻的电流方向,因此产生有效的逻辑“1”和逻辑“0”状态。

常用电平标准的讨论(TTL,ECL,PECL,LVDS,CMOS,CML,GTL,HSTL,SSTL)

常用电平标准的讨论 (TTL,ECL,PECL,LVDS、CMOS、CML, GTL, HSTL, SSTL) 部分资料上说它们的逻辑标准,门限都是一样的,就是供电大小不同,这两种电平 的区别就是这些么? 是否LVTTL电平无法直接驱动TTL电路呢? 另外,"因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。" 中,关于改善噪声容限和系统功耗部分大家还有更深入的解释么? 简单列个表把 Voh Vol Vih Vil Vcc TTL 2.4 0.4 2.0 0.8 5 CMOS 4.44 0.5 3.5 1.5 5 LVTTL 2.4 0.4 2.0 0.8 3.3 LVCMOS 2.4 0.5 2.0 0.8 3.3 SSTL_2 1.82 0.68 1.43 1.07 2.5 根据上表所示,LVTTL可以驱动TTL,至于噪声,功耗问题小弟就不理解了,希望高手赐教! TTL 和LVTTL 的转换电平是相同的, TTL 产生于1970 年代初, 当时逻辑电路的电源电压标准只有5V 一种, TTL 的高电平干扰容限比低电平干扰容限大. CMOS 在晚十几年后才形成规模生产, 转换电平是电源电压的一半. 1990 年代才产生了3.3V/2.5V 等不同的电源标准, 于是重新设计了一部分TTL 电路成 为LVTTL. LVTTL TTL 和LVTTL 的转换电平是相同的, TTL 产生于1970 年代初, 当时逻辑电路的电源电压标准只有5V 一种, TTL 的高电平干扰容限比低电平干扰容限大. CMOS 在晚十几年后才形成规模生产, 转换电平是电源电压的一半. 1990 年代才产生了3.3V/2.5V 等不同的电源标准, 于是重新设计了一部分TTL 电路成 为LVTTL. ECL电路是射极耦合逻辑(Emitter Couple Logic)集成电路的简称与TTL电路不同,ECL电路的最大特点是其基本门电路工作在非饱和状态所以,ECL电路的最大优点是具有相当高的速度这种电路的平均延迟时间可达几个毫微秒甚至亚毫微秒数量级,这使得ECL集成电路在高速和超高速数字系统中充当无以匹敌的角色。 ECL电路的逻辑摆幅较小(仅约 0.8V ,而 TTL 的逻辑摆幅约为 2.0V ),当电路从一种状态过渡到另一种状态时,对寄生电容的充放电时间将减少,这也是 ECL电路具有高开关速度的重要原因。但逻辑摆幅小,对抗干扰能力不利。

常用逻辑电平标准总结归纳

常见逻辑电平标准 下面总结一下各电平标准。和新手以及有需要的人共享一下^_^. 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的 LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用就先不讲了。多用在处理器等高速芯片,使用时查看芯片手册就OK了。TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻;TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。 CMOS:Complementary Metal Oxide Semiconductor PMOS+NMOS。 Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。 3.3V LVCMOS: Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;VIH>=2.0V;VIL<=0.7V。 2.5V LVCMOS: Vcc:2.5V;VOH>=2V;VOL<=0.1V;VIH>=1.7V;VIL<=0.7V。 CMOS使用注意:CMOS结构内部寄生有可控硅结构,当输入或输入管脚高于VCC一定值(比如一些芯片是0.7V)时,电流足够大的话,可能引起闩锁效应,导致芯片的烧毁。 ECL:Emitter Coupled Logic 发射极耦合逻辑电路(差分结构) Vcc=0V;Vee:-5.2V;VOH=-0.88V;VOL=-1.72V;VIH=-1.24V;VIL=-1.36V。

RSRSRS电平及常见逻辑电平标准

R S232、R S485、R S422电平,及常见逻辑电平标准 RS232电平或者说串口电平,有的甚至说计算机电平,所有的这些说法,指得都是计算机9针串口(RS232)的电平,采用负逻辑, -15v ~ -3v 代表1 +3v ~ +15v 代表0 RS485电平和RS422电平由于两者均采用差分传输(平衡传输)的方式,所以他们的电平方式,一般有两个引脚 A,B 发送端 AB间的电压差 +2 ~+6v 1 -2 ~-6v 0 接收端 AB间的电压差 大于+200mv 1 小于-200mv 0 定义逻辑1为B>A的状态 定义逻辑0为A>B的状态 AB之间的电压差不小于200mv 一对一的接头的情况下 RS232 可做到双向传输,全双工通讯最高传输速率 20kbps 422 只能做到单向传输,半双工通讯,最高传输速率10Mbps 485 双向传输,半双工通讯, 最高传输速率10Mbps

常见逻辑电平标准 下面总结一下各电平标准。和新手以及有需要的人共享一下^_^. 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的 LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用就先不讲了。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电 阻;TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。

FPGA常用电平标准

1.0 常用的电平标准有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还 有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL等。各自的供电电源、电平标准以及使用注意事项: 1.1 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会 影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 1.2 LVTTL又分3.3V、 2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL:Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL:Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用就先不讲了。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意: A.> TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻; B.> TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。 C.> TTL输出不能驱动CMOS输入。 1.3 CMOS:Complementary Metal Oxide Semiconductor PMOS NMOS。 Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS ,可以与3.3V的LVTTL直接相互驱动。 3.3V LVCMOS:Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;VIH>=2.0V;VIL<=0.7V。 2.5V LVCMOS:Vcc:2.5V;VOH>=2V;VOL<=0.1V;VIH>=1.7V;VIL<=0.7V。 CMOS使用注意: A. CMOS结构内部寄生有可控硅结构,当输入或输入管脚高于VCC一定值(比如一些芯片是 0.7V )时,电流足够大的话,可能引起闩锁效应,导致芯片的烧毁。 1.4 ECL:Emitter Coupled Logic 发射极耦合逻辑电路(差分结构) Vcc=0V;Vee:-5.2V;VOH=-0.88V;VOL=-1.72V;VIH=-1.24V;VIL=-1.36V。 速度快,驱动能力强,噪声小,很容易达到几百M的应用。但是功耗大,需要负电源。为简化电源 ,出现了PECL(ECL结构,改用正电压供电)和LVPECL。 PECL:Pseudo/Positive ECL ,Vcc=5V;VOH=4.12V;VOL=3.28V;VIH=3.78V;VIL=3.64V LVPELC:Low Voltage PECL,Vcc=3.3V;VOH=2.42V;VOL=1.58V;VIH=2.06V;VIL=1.94V ECL、PECL、LVPECL使用注意:不同电平不能直接驱动。中间可用交流耦合、电阻网络或专用芯片 进行转换。以上三种均为射随输出结构,必须有电阻拉到一个直流偏置电压。(如多用于时钟的LVPECL: 直流匹配时用130欧上拉,同时用82欧下拉;交流匹配时用82欧上拉,同时用130欧下拉。但两种 方式工作后直流电平都在1.95V左右。) 1.5 前面的电平标准摆幅都比较大,为降低电磁辐射,同时提高开关速度又推出LVDS电平标准。 LVDS:Low Voltage Differential Signaling 差分对输入输出,内部有一个恒流源3.5-4mA,在差分线上改变方向来表示0和1。通过外部的100欧 匹配电阻(并在差分线上靠近接收端)转换为±350mV的差分电平。 LVDS使用注意:可以达到600M以上,PCB要求较高,差分线要求严格等长,差最好不超过 10mil(0.25mm )。100欧电阻离接收端距离不能超过500mil,最好控制在300mil以内。 1.6 下面的电平用的可能不是很多,篇幅关系,只简单做一下介绍。

常见逻辑电平标准

常见逻辑电平标准 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V; VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V; VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V; VIL<=0.7V。 更低的LVTTL不常用就先不讲了。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻; TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。 CMOS:Complementary Metal Oxide Semiconductor PMOS+NMOS。 Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V; VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。 3.3V LVCMOS: Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;VIH>=2.0V; VIL<=0.7V。

几种常见电平

一些电平标准 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用就先不讲了。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻; TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。 CMOS:Complementary Metal Oxide Semiconductor PMOS+NMOS。 Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V 的LVTTL直接相互驱动。 3.3V LVCMOS: Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;VIH>=2.0V;VIL<=0.7V。 2.5V LVCMOS: Vcc:2.5V;VOH>=2V;VOL<=0.1V;VIH>=1.7V;VIL<=0.7V。 CMOS使用注意:CMOS结构内部寄生有可控硅结构,当输入或输入管脚高于VCC一定值(比如一些芯片是0.7V)时,电流足够大的话,可能引起闩锁效应,导致芯片的烧毁。 ECL:Emitter Coupled Logic 发射极耦合逻辑电路(差分结构) Vcc=0V;Vee:-5.2V;VOH=-0.88V;VOL=-1.72V;VIH=-1.24V;VIL=-1.36V。 速度快,驱动能力强,噪声小,很容易达到几百M的应用。但是功耗大,需要负电源。为简化电源,出现了PECL(ECL结构,改用正电压供电)和LVPECL。 PECL:Pseudo/Positive ECL Vcc=5V;VOH=4.12V;VOL=3.28V;VIH=3.78V;VIL=3.64V LVPELC:Low Voltage PECL Vcc=3.3V;VOH=2.42V;VOL=1.58V;VIH=2.06V;VIL=1.94V ECL、PECL、LVPECL使用注意:不同电平不能直接驱动。中间可用交流耦合、电阻网络或专用芯片进行转换。以上三种均为射随输出结构,必须有电阻拉到一个直流偏置电压。(如多用于时钟的LVPECL:直流匹配时用130欧上拉,同时用82欧下拉;交流匹配时 用82欧上拉,同时用130欧下拉。但两种方式工作后直流电平都在1.95V左右。) 前面的电平标准摆幅都比较大,为降低电磁辐射,同时提高开关速度又推出LVDS电平标准。 LVDS:Low Voltage Differential Signaling 差分对输入输出,内部有一个恒流源3.5-4mA,在差分线上改变方向来表示0和1。通过外部的100欧匹配电阻(并在差分线上靠近接收端)转换为±350mV的差分电平。 LVDS使用注意:可以达到600M以上,PCB要求较高,差分线要求严格等长,差最好不超过10mil(0.25mm)。100欧电阻离接收端距离不能超过500mil,最好控制在300mil以内。

常用逻辑电平简介

常用逻辑电平简介(转载) 逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、RS422、RS485等。图1-1:常用逻辑系列器件TTL:Transistor-Transistor LogicCMOS:Comple mentary MetalOxide Semicondutor LVTTL:LowVoltageTTL LVCMOS:Low Voltage CMOS ECL:Emitter Coupled Logic,?PECL:Pseudo/Positive EmitterCoupledLogic LVDS:LowVoltageDifferential SignalingGTL:Gunning Transceiver LogicBTL: Backplane TransceiverLogi cETL:enhanced transceiver logicGTLP:Gunning Transceiver Logic Plus ?TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等S -Schottky Logic LS - Low-Power Schottky Logic ?CD4000 - CMOS Logic 4000 AS - Advanced SchottkyLogic 74F - FastLogic ALS - Advanced Low-Power Schottky Logic HC/HCT-High-Speed CMOS Logic BCT - BiCMOS Technology ?AC/ACT-Advanced CMOSLogic?FCT -FastCMOSTechnology ?ABT-Advanced BiCMOSTechnology LVT- Low-Voltage BiCMOSTechnology LVC-Low Voltage CMOS T echnology ?LV - Low-Voltage CBT -Crossbar Technology ALVC - AdvancedLow-VoltageCMOS Technology AHC/AHCT- AdvancedHigh-SpeedCMOSCBTLV - Low-Voltage Crossbar Technology ALVT - Advanced Low-Voltage BiCMOS TechnologyAVC-Advanced Very-Low-Voltage CMOS Logic TTL器件和CMOS器件的逻辑电平:逻辑电平的一些概念要了解逻辑电平的内容,首先要知道以下几个概念的含义:1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平, 3:输出高电平(Voh):保证当输入电平低于Vil时,则认为输入电平为低电平。? 逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的电平 4:输出低电平(Vol):保证逻辑门的输出为低电平时的值都必须大于此Voh。? 输出电平的最大值,逻辑门的输出为低电平时的电平值都必须小于此Vol。 5:阀值电平(Vt):数字电路芯片都存在一个阈值电平,就是电路刚刚勉强能翻转动作时的电平。它是一个界于Vil、Vih之间的电压值,对于CMOS电路的阈值电平,基本上是二分之一的电源电压值,但要保证稳定的输出,则必须要求输入 高电平> Vih,输入低电平 Vih > Vt >Vil > Vol。6:Ioh:逻辑门输出为高电平时的负载电流(为拉电流)。?7:Iol:逻辑门输出为低电平时的负载电流(为灌电流)。?8:Iih:逻辑门输入为高电平时的电流(为灌电流)。9:Iil:逻辑门输入为低电平时的电流(为拉电流)。门电路输出极在集成单元内不接负载电阻而直接引出作为输出端,这种形式的门称为开路门。开路的TTL、CMOS、ECL门分别称为集电极开路(OC)、漏极开路(OD)、发射极开路(OE),使用时应审查是否接上拉

相关主题
文本预览
相关文档 最新文档