当前位置:文档之家› 数字电路Ch01数字概念

数字电路Ch01数字概念

数字电路Ch01数字概念

数字电路Ch01数字概念

数字电路及其应用(一)

数字电路及其应用(一) 编者的话当今时代,数字电路已广泛地应用于各个领域。本报将 在“电路与制作”栏里,刊登系列文章介绍数字电路的基本知识和应用实例。 在介绍基本知识时,我们将以集成数字电路为主,该电路又分TTL和CMOS 两种类型,这里又以CMOS集成数字电路为主,因它功耗低、工作电压范围宽、扇出能力强和售价低等,很适合电子爱好者选用。介绍应用时,以实 用为主,特别介绍一些家电产品和娱乐产品中的数字电路。这样可使刚入门的 电子爱好者尽快学会和使用数字电路。一、基本逻辑电路 1.数字电路 的特点 在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模 拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者 涉及数字信号,即断续变化的物理量,如图1所示。当把图1的开关K快速通、断时,在电阻R上就产生一连串的脉冲(电压),这就是数字信号。人们把用来 传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作 时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电 位用代码“1”表示,称为逻辑“1”;低电位用代码“0”表示,称为逻辑“0”(按正逻 辑定义的)。注意:有关产品手册中常用“H”代表“1”、“L”代表“0”。实际的数字 电路中,到底要求多高或多低的电位才能表示“1”或“0”,这要由具体的数字电 路来定。例如一些TTL数字电路的输出电压等于或小于0.2V,均可认为是逻 辑“0”,等于或者大于3V,均可认为是逻辑“1”(即电路技术指标)。CMOS数字 电路的逻辑“0”或“1”的电位值是与工作电压有关的。讨论数字电路问 题时,也常用代码“0”和“1”表示某些器件工作时的两种状态,例如开关断开代 表“0”状态、接通代表“1”状态。 2.三种基本逻辑电路

数字电路中的几个基本概念

数字电路中的几个基本概念 建立时间和保持时间建立时间(setupTIme)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold TIme)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间,如果保持时间不够,数据同样不能被打入触发器。数据稳定传输必须满足建立和保持时间的要求。 在设计中,当然希望建立时间越短越好,而保持时间呢,也越短越好。也就是说,最好信号在时钟边沿到达,而在到达后,马上被采用,这样,理论上效率是最好的。当然了,理论而已。 竞争和冒险PLD内部毛刺产生的原因 我们在使用分立元件设计数字系统时,由于PCB走线时,存在分布电感和电容,所以几纳秒的毛刺将被自然滤除,而在PLD内部决无分布电感和电容,所以在PLD/FPGA设计中,竞争和冒险问题将变的较为突出。这一点用模拟电路的观点很容易理解,例如在一个延迟链条上,加两个电容,就把这个毛刺给滤掉。 FPGA中的冒险现象 信号在FPGA器件内部通过连线和逻辑单元时,都有一定的延时。延时的大小与连线的长短和逻辑单元的数目有关,同时还受器件的制造工艺、工作电压、温度等条件的影响。信号的高低电平转换也需要一定的过渡时间。由于存在这两方面因素,多路信号的电平值发生变化时,在信号变化的瞬间,组合逻辑的输出有先后顺序,并不是同时变化,往往会出现一些不正确的尖峰信号,这些尖峰信号称为毛刺。如果一个组合逻辑电路中有毛刺出现,就说明该电路存在冒险。(与分立元件不同,由于PLD内部不存在寄生电容电感,这些毛刺将被完整的保留并向下一级传递,因此毛刺现象在PLD、FPGA设计中尤为突出)我们无法保证所有连线的长度一致,所以输入信号在输入端同时变化,但经过PLD内部的走线,到达或门的时间也是不一样的,毛刺必然产生。可以概括的讲,只要输入信号同时变化,(经过内部走线)组合逻辑必将产生毛刺。将它们的输出直接连接到时钟输入端、清

数字电路及其应用

第四章数字电路及其应用 课程目标 1 掌握基本逻辑代数和基本逻辑门电路的逻辑功能 2 掌握常用复合门电路的逻辑功能和应用 3 掌握组合逻辑电路的分析和设计方法及应用,常用组合逻辑部件的应用 4 掌握常用触发器的逻辑功能及应用 5 掌握时序逻辑电路的分析应用 6 实验技能:与非门逻辑功能测试,触发器逻辑功能测试;EWB软件的应用。 课程内容 1 逻辑代数知识 2 基本逻辑门及常用逻辑门的功能及符号 3 组合逻辑电路的分析与应用 4 常用组合逻辑部件的功能和应用 5 触发器结构、功能 6 数字逻辑电路的分析应用 7与非门逻辑功能测试 8触发器逻辑功能测试 9 555电路的应用及仿真 学习方法 从通过掌握逻辑代数、基本门电路逻辑关系出发,掌握组合逻辑电路的分析和应用及常用组合逻辑部件的应用,掌握触发器的功能应用及时序逻辑电路的分析应用,从而掌握数字电路分析应用的方法,通过数字电路的实验实训仿真,掌握常用数字部件的应用,故障诊断与排除。 课后思考 1 二进制、十进制以及十六进制之间相互转换的方法? 2 BCD码的含义和种类? 3 用与非门与其他逻辑门之间的转换方法? 4 组合逻辑电路分析应用的方法是什么? 5 编码器与译码器的含义及之间的区别? 6 JK触发器的功能以及与D触发器之间转换的方法? 7 时序逻辑电路的特点?

逻辑代数知识 一、数制 所谓数制就是计数的方法。在日常生活中最常用的是十进制,它有0、1、2、3、4、5、6、7、8、9十个数码,用来组成不同的数。在数字电路中采用二进制,还有八进制、十六进制。下面介绍常用的二进制和十六进制。 1.二进制 二进制有两个数码0和1,它们与电路的两个状态(开和关、高电平和低电平等)直接对应,使用比较方便。 二进制与十进制的进位规则不同。十进制是“逢十进一”,即9+1=10,可写成10=1*101+0*100,10为基数。如325可写成: 325=3*102+2*101+5*100 二进制是“逢二进一”,即1+1=10,可写成10=1*21+0*20,也就是说,二进制以2为基数,如: (11011)2=1*24+1*23+0*22+1*21+1*20=(27)10 这样可把任意一个二进制数转换为十进制数。若要将十进制数转换为二进制数怎么办呢? 由上式可见: (27)10=d4*24+d3*23+d2*22+d1*21+d0*20=( d4d3d2d1d0)2 式中d4~ d0分别为相就的二进制数码1或0。它们可用下法求得:27除2的余数是1,其商除2的余数为1,这样除下去,直到商为0为止: 2|27……余1(d0) 2|13……余1(d1) 2|6……..余0(d2) 2|3……..余1(d3) 2|1……..余1(d4) 所以 (27)10=(d4d3d2d1d0)2=(11011)2 2.十六进制 十六进制有0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F十六个数码,其中A~F分别代表十进制的10~15。为与十进制区别,规定十六进制数注有下标16或H。十六进制是“逢十六进一”,即F+1=10,可写成10=1*161+0*160,其基数为16,如: (4E6)16=(4E6)11=4*162+14*161+6*160=(1254)10 这就是十六进制数转换为十进制数的方法。反过来,要将十进制数转换为十六进制数,可先转换为二进制数,再由二进制数转换为十六进制数。因为每一个十六进制数码都可以用4位二进制数来表示,如(1011)2表示十六进制的B;(0101)2表示十六进制的5等。故可将二进制数从低位开始,每4位为一组写出其值,从高位到低位,就是十六进制数。如: (27)10=(0011011)2=(1B)16 下面比较一下上面三种数制的数码: 十进制二进制十六进制十进制二进制十六进制 0 000 0 8 1000 8 1 001 1 9 1001 9 2 010 2 10 1010 A 3 011 3 11 1011 B 4 100 4 12 1100 C 5 101 5 13 1101 D 6 110 6 14 1110 E 7 111 7 15 1111 F 二、编码

数字逻辑电路设计及应用

数字逻辑电路设计及应用 C程序设计报告(1) [问题]: 设计一个C程序实现任意十进制数到二进制数的转换,二进制精度为11位。 [思路]: 1.十进制数转二进制数对整数和小数的处理时不一样的。所以设计程序时,也应该对读入 的整数和小数的数据分开处理。(分开的办法可以先直接对浮点数强制类型转换,即可得到整数部分,再用浮点数减整数部分,即可得到小数部分)。 2.对于整数部分,采用的是“除2法”(不知道是不是这个名字……)。即,每次将该数除 以2,得到的余数作为该位的二进制数,商作为下一次的除数,依此类推,直到商为1或0为止。 3.对于小数部分,采用的是“乘2法”(依然不知道是不是这个名字)。即,每次将小数部 分乘2,得到的整数部分即为该位的二进制数,小数部分为下一次的乘数。依此类推,这样做下去是一个无限不循环的小数,所以一般会要求二进制数中小数的精度,本题目要求的是11位。 4.在实际程序设计过程中,我发现了这样一个问题,当小数部分二进制码采用浮点型数据 时,单独输出准确无误,但与整形的整数部分二进制码结合在一起后,最后3位总是不准确的,怀疑是在相加的过程中产生了“大数吃小数”的问题。按照一般思维,此时应提高精度,采用long double型变量,但是我采用的编译器是采用Windows C的运行库(MS C编译器)的MinGW,其对printf函数不支持long double型。无奈之下,我只能把小数部分存为一个11位长的数组,再对其输出。 [流程]: [程序]:

/******************************************************************** /* this is a program to transform decimal nubers to binary nubers. /* Huang Bohao /* 将小数部分用数组形式存储,避免了整数部分与小数部分相加而出现的 /*大数吃小数的情况 ********************************************************************/ #include <> int Integer2Binary(int integer); ,Bina ryInt); for(i = 0; i < 11; i++) printf("%d",BinaryFraction[i]); printf("\n"); } /******************************************************************** /* function name: Integer2Binary /* input parameter: int integer (integer waiting to be transformed) /* output parameter: int output (transformed integer) ********************************************************************/ int Integer2Binary(int integer) { int B,Y,output,flag; //B被除数,Y为余数,output为输出数据,flag为位置标记位

数字电子技术基础第三版第三章答案

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2。组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用. 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点: 1.组合电路设计 无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点.总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称. (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表. 2。常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会. 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1。概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2。综合分析与设计

数字电路的应用

数字电路的应用 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二进制数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。 数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号。电路中的电子晶体管工作于开关状态,时而导通,时而截止。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。逻辑门是数字电路中一种重要的逻辑单元电路。TTL 逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近几年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。数字电路或数字集成电路是由许多的逻辑门组成的复杂电路。与模拟电路相比,它主要进行数字信号的处理(即信号以0与1 两个状态表示),因此抗干扰能力较强。数字集成电路有各种门电路、触发器以及由它们构成的各种组合逻辑电路和时序逻辑电路。一个数字系统一般由控制部件和运算部件组成,在时脉的驱动下,控制部件控制运算部件完成所要执行的动作。通过模拟数字转换器、数字模拟转换器,数字电路可以和模拟电路互相连接。 分类 按功能来分: 1、组合逻辑电路 简称组合电路,它由最基本的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。 2、时序逻辑电路 简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路(输出到输入)或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时

数字逻辑课程基本概念复习题

数字逻辑课程基本概念复习题: 1.数字电路是以 二进制逻辑代数 为数学基础。 2.数字电路既能进行逻辑运算又能进行 算术 运算。 3.数字电路中的常数是 时间常数 。 4.卡诺图化简逻辑函数的原理是利用相邻的两个最小项可消去 一个变量 。 5.最简逻辑函数是指在实现它的逻辑功能时,所用的 逻辑门 最少,每个门的 种类 最少。 6.存储器的逻辑芯片有一个引脚标有CS ,它的作用是 控制输入端 。 7.存储器ROM 的英文名称是: Read only Memory ;它的特点是 只能读,断电后数据不消失 。 8.数字逻辑电路中的常数是 0和1 。 9. 数字逻辑门的逻辑功能是 与 或 非 。 10.由8位信息码和1位校验码构成为110011011,它是 偶 校验码。 11.数字逻辑中基本的逻辑运算有: 与 或 非 。 12. TTL 与非门某引脚悬空,则相当于接入了逻辑 1 。 13.存储器ROM 的连接线有 地址 总线、 数据 总线和 控制 总线。 14.n 个变量函数的全体最小项之和(或)为 1 。 15.给35个字符编码,至少需要 6 位二进制数。 16.34+48和数的8421BCD 码是 。 17.AB B A F +=的对偶式是 。 18.在4选1数据选择器中,需要 16 位地址控制代码。 19.设逻辑函数BD ABC F +=,其最小项的标准表达式是 m5+m13+m14+m15 。 20.数字系统中的8421BCD 码是用 4 位二进制数表示一位十进制数。 21.在下图所示的(a )(b )两图中,要实现“与”逻辑功能,正确的接法是 图。

在(c )(d )两图中,要实现“或”逻辑功能,正确的接法是 图。 22.现有一逻辑函数ABC BC A C AB C B A C B A F +++=),,(,根据最小项的性质,三个输入变量有8个最小项,那么函数中只出现了四个最小项,还有四个最小项没有出现的原因是 ABC 中不能同时出现2个或3个0电位 。 23.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符"4",则译码器输出a ~g 的代码应为 0110011 。 24. 一个256*4的存储器有 8 根地址线和 4 根数据线。 25.触发器中的直接置0和直接置1两个输入端的逻辑功能是 与非 26. 下图是由三个JK 触发器构成的时序逻辑电路波形图,它的逻辑功能 。 提示:Q 2为最高位,即Q 2Q 1Q 0。 27.教材中各章节后面的思考题

数字电子技术的应用

龙源期刊网 http://www.qikan.com.cn 数字电子技术的应用 作者:尹润翔 来源:《电子技术与软件工程》2017年第10期 数字电路中逻辑门电路是最基本的电路逻辑元件。所谓“门”就是一种开关,它能按照某些条件去控制电子信号的通过或不通过。门电路的信号输入和信号输出之间存在一定的逻辑关系(因果关系),所以门电路又称为逻辑门电路。门电路的基本逻辑关系为“与”、“或”、“非”三种。通过这三种关系,可以实现多种多样的功能。而对于传统的机械手表来说,它的功能单一。所以可以通过数字电子技术是它的功能更加丰富,更符合人们生活的需要。例如,除了传统机械手表的功能;显示时间之外,还可以增加显示日期,秒表计时,定时闹钟等功能。 【关键词】高电平低电平输入端输出端 1 数字电子技术 在2016年夏天,我去表哥家玩,在他的书桌上放着一本有关数字电子技术的书,出于好奇心,于是我就翻看了几页,然后我就喜欢上了数字电子技术这门课。以下是我对数字电子技术的认识。核心内容就是把一系列连续的信息数字化,或者说是不连续化。在电子技术中,信号可以根据是否连续分为两大类:一类信号是连续的模拟信号,这类信号的特征是,无论从时间上还是从信号的大小上都是连续变化的,用于传递、加工和处理模拟信号的技术叫做模拟技术,处理模拟信号的电路称为模拟电路。常用的有整流电路、放大电路等,而且研究的是输入和输出信号间的大小及相位关系;另一类信号是不连续的数字信号,数字信号的特征是,无论从时间上或是大小上都是离散的,或者说都是不连续的,传递、加工和处理数码信号的叫做数字技术。处理数字信号的电路称为数字电路,它注重研究的是输入、输出信号之间的逻辑关系而非大小和相位的关系。“门”电路是数字电路中最基本的逻辑元件。所谓“门”就是一种开关,它能按照特点的的条件去控制电路信号的通过或不通过。门电路的输入和输出之间存在一定的逻辑关系(因果关系),所以“门”电路又称为逻辑门电路。基本逻辑关系为“与”、“或”、“非”三种。数字技术有以下特点: (1)在数字技术中采用二进制,因此凡元件具有的两个稳定状态都可用来表示二进制,(例如“高电平”和“低电平”),所以其基本单元电路简单,电路中各元件对精度要求不严格,允许基本参数有较大的偏差,只要能区分两种截然不同的状态即可。这一特点,降低了数字电路对元件的要求,降低了数字电路的成本,对实现数字电路集成化是十分有利的。 (2)抗干扰能力强、精度高。采用二进制的数字技术传递加工和处理的是二值信息,不易受外界的干扰,抗干扰能力强。另外它可用增加二进制数的数位提高精度。 (3)数字信号便于长期存贮,使大量可贵的信息资源得以保存。

《数字电子技术》知识点

《数字电子技术》知识点 第1章数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= (100101.01)2= ( 25.4)16= (00110111.00100101)8421BCD 4.基本逻辑运算的特点 与运算:见零为零,全1为1; 或运算:见1为1,全零为零; 与非运算:见零为1,全1为零; 或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零; 同或运算:相同为1,相异为零; 非运算:零变1,1变零; 要求:熟练应用上述逻辑运算。 5.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 6.逻辑代数运算的基本规则

①反演规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y 的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y ',Y '称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数:E D C B A Y += 解:反函数:))((E D C B A Y +++= 对偶函数:))((E D C B A Y D +++= 7.逻辑函数化简 (1)最小项的定义及应用; (2)二、三、四变量的卡诺图。 要求:熟练掌握逻辑函数的两种化简方法。 ①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。 举例4:用公式化简逻辑函数:C B BC A ABC Y ++=1 解:B C B BC C B BC A A C B BC A ABC Y =+=++=++=)(1 举例5:用公式法化简逻辑函数为最简与或式:BC B C A B C A F +++?= 解:BC B B C A BC B C A B C A BC B C A B C A F ++=++=+++=)( C A BC C A BC C A +=++=+= 举例6:用公式法化简逻辑函数为最简与或式:)(A B A ABC A F +++= 解:)(A B A ABC B A F +++= )()(A B A ABC B A +?+= =)()(A B A ABC B A ++?+=)()(B A A ABC B A +?+ =A ABC B A ?+)(=0 ②图形化简:逻辑函数的图形化简法是将逻辑函数用卡诺图来表示,利用卡诺图来化简逻辑函数。(主要适合于3个或4个变量的化简) 举例7:用卡诺图化简逻辑函数:)6,4()7,3,2,0(),,(d m C B A Y ∑+∑= 解:画出卡诺图为 则B C Y += 举例8:已知逻辑函数C B A C B A B A Z ++=,约束条件为0=BC 。用卡诺图化简。

数字电路常用芯片应用设计

74ls138 摘要: 74LS138 为3 -8 线译码器,共有54/74S138和54/74LS138 两种线路结构型式,其中LS是指采用低功耗肖特基电路. 引脚图: 工作原理: 当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。利用G1、/(G2A)和/(G2B)可级联扩展成24 线译码器;若外接一个反相器还可级联扩展成32 线译码器。若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。 内部电路结构:

功能表真值表: 简单应用: 74ls139: 74LS139功能: 54/74LS139为2 线-4 线译码器,也可作数据分配器。其主要电特性的典型值如下:型号 54LS139/74LS139 传递延迟时间22ns 功耗34mW 当选通端(G1)为高电平,可将地址端(A、B)的二进制编码在一个对应的输出端以低电平译出。若将选通端(G1)作为数据输入端时,139 还可作数据分配器。 74ls139引脚图:

引出端符号: A、B:译码地址输入端 G1、G2 :选通端(低电平有效) Y0~Y3:译码输出端(低电平有效74LS139内部逻辑图: 74LS139真值表:

74ls164: 164 为8 位移位寄存器,其主要电特性的典型值如下:54/74164 185mW 54/74LS164 80mW当清除端(CLEAR)为低电平时,输出端(QA-QH)均为低电平。串行数据输入端(A,B)可控制数据。当A、B任意一个为低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0 为低电平。当A、B 有一个为高电平,则另一个就允许输入数据,并在CLOCK 上升沿作用下决定Q0 的状态。 引脚功能: CLOCK :时钟输入端CLEAR:同步清除输入端(低电平有效)A,B :串行数据输入端QA-QH:输出端 (图1 74LS164封装图) (图2 74LS164 内部逻辑图)

数字电子技术的应用及发展趋势探析

数字电子技术的应用及发展趋势探析 摘要:随着电子设备的普及,数字电子技术应用到 各个领域,发展前景良好。数字电子作为一种具有高科技效力的技术,它的应用与发展对我国各个行业来说都是尤为重要的。本文主要分析数字电子技术数字电子技术的应用领域,并在此基础上探析了其未来的发展趋势。 关键词:数字电子技术;应用;发展趋势数字电子技术是当前发展最快的学科之一。近年来,数字电子技术作为电子技术领域中的一项新兴科技,越来越受到关注,尤其是数字电子技术在各行各业的广泛应用,更使它拥有了广阔的发展前景。 1、数字电子技术概述 1.1数字电子技术的概念 数字电子技术属于信息电子学科,集成电路、发光二极管等都是数字电子技术具体的物质体现,它以集成芯片、电路、逻辑门电路为研究对象,伴随信息技术的发展,其电路对于信号处理显示出了明显的优势。以处理信号为例,信号处理过程中,按照一定比例在数字电路上,把模拟信号转换成数字信号,再经数字电路将数字信号进行处理,完成处理之后,根据需要反复转化成模拟信号。

1.2电子技术的分类 电子技术包括数字电子技术和模拟电子技术两大类。这两大类技术有着相辅相成的联系,其中最明显和被广泛使用的就是数字电路信号的处理,即模拟信号(“0101”信号) 与数字信号的相互转换。但这两者之间也存在着一些不同之处。首先,与模拟信号相比,数字信号波形更简单易识,没有太多的变化,只有高电平和低电平两种,出现误差的几率很小,这无疑也给信号的接收和处理方面提供了更加便捷的条件,这一点本文将在后文进行详细的论述。其次,因为数字电子技术的诸多优点,例如稳定性强、可靠性高等,很多模拟信息被电子信息所取代,其中最明显的就是在声音和图像的存储方式上,过去声音和图像是由模拟信号组成的磁带、磁盘来储存,而现在这些都变成了光盘存储,无疑更加便捷也更易保存。 1.3数字电子技术的优势 数字电子技术作为一种具有重要作用的新兴技术,在我国电子信息化的进程中发挥着巨大的推动作用。近年来,数字电子技术以其波形简单、精确度高、抗感染能力强等多重优势,在多种方面的应用中发挥了重要的作用,为我国经济社会和信息产业的发展作出了巨大的贡献。 2、数字电子技术的应用 2.1在雷达接收机中的应用

数字电路CD4017的原理及应用电路

数字电路CD4017得原理及应用电路 数字电路CD4017就是十进制计数/分频器,它得内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号得分配,整个输出时序就就是Q0、Q1、Q2、…、Q9依次出现与时钟同步得高电平,宽度等于时钟周期。 CD4017有10个输出端(Q0~Q9)与1个进位输出端~Q5-9。每输入10个计数脉冲,~Q5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级得时钟信号。 CD4017有3个输(MR、CP0与~CP1),MR为清零端,当在MR端上加高电平或正脉冲时其输出Q0为高电平,其余输出端(Q1~Q9)均为低电平。CP0与~CPl 就是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CPl端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。由此可见,当CD4017有连续脉冲输入时,其对应得输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 CD4017有两个时钟端 CP 与 EN,若用时钟脉冲得上沿计数,则信号从 CP 端输入;若用下降沿计数,则信号从 EN 端输入。设置两个时钟端就是为了级联方便。 CD4017 与 CD4022 就是一对姊妹产品,主要区别就是 CD4022 就是八进制得,所以译码输出仅有 Y0~Y7,每输入 8 个脉冲周期,就可得到一个进位输出,它们得管脚相同,不过 CD4022 得 6、9 脚就是空脚。 cd4017方框图cd4017引脚图 一、用一个CD4017制成得彩灯电路 1、用一个CD4017制作得彩灯电路如图1 所示。

cd4017电路图 2、电路工作原理 CD4017输出高电平得顺序分别就是③、②、④、⑦、⑩、①、⑤、⑥、⑨脚,故③、②、④、⑦、⑩、①脚得高电平使6串彩灯向右顺序发光,⑤、⑥、③脚得高电平使6串彩灯由中心向两边散开发光。各种发光方式可按自己得需要进行具体得组合,若要改变彩灯得闪光速度,可改变电容C1得大小。 二、用三个CD4O17彩灯电路图 CD4017得级连,如图2所示。 cd4017级联原理图 CD4017级连后可以顺序输出24个高电平,同上理可组合出各种不同得发光方式,见图3,可使6串彩灯向右流水发光,再向左流水发光,中心向两边散开后再向中心靠拢发光,1、3、5、2、4、6串间隔发光等等 CD4511就是一个用于驱动共阴极 LED (数码管)显示器得 BCD 码—七段码译码器,特点:具有BCD转换、消隐与锁存控制、七段译码及驱动功能得CMOS电路能

数字电路中元器件的应用

第一部分:硬件知识 一、数字信号 1、TTL和带缓冲的TTL信号 2、RS232和定义 3、RS485/422(平衡信号) 4、干接点信号 二、模拟信号视频 1、非平衡信号 2、平衡信号 三、芯片 1、封装 2、7407 3、7404 4、7400 5、74LS573 6、ULN2003 7、74LS244 8、74LS240 9、74LS245 10、74LS138/238 11、CPLD(EPM7128) 12、1161 13、max691 14、max485/75176 15、mc1489 16、mc1488 17、ICL232/max232 18、89C51 四、分立器件 1、封装 2、电阻:功耗和容值 3、电容 1) 独石电容 2) 瓷片电容 3) 电解电容 4、电感 5、电源转换模块 6、接线端子

7、LED发光管 8、8字(共阳和共阴) 9、三极管2N5551 10、蜂鸣器 五、单片机最小系统 1、单片机 2、看门狗和上电复位电路 3、晶振和瓷片电容 六、串行接口芯片 1、eeprom 2、串行I/O接口芯片 3、串行AD、DA 4、串行LED驱动、max7129 七、电源设计 1、开关电源:器件的选择 2、线性电源: 1) 变压器 2) 桥 3) 电解电容 3、电源的保护 1) 桥的保护 2) 单二极管保护 八、维修 1、电源 2、看门狗 3、信号 九、设计思路 1、电源:电压和电流 2、接口:串口、开关量输入、开关量输出 3、开关量信号输出调理 1) TTL―>继电器 2) TTL―>继电器(反向逻辑) 3) TTL―>固态继电器 4) TTL―>LED(8字) 5) 继电器―>继电器 6) 继电器―>固态继电器 4、开关量信号输入调理 1) 干接点―>光耦

数字电路基本知识

《电子线路》教学导学案 课题名称:数字电路基础知识—数字电路概述及二 进制数 实施课时2课时 教学目标 (知识与技能,过程与方法,情感、态度与价 值观) 1、了解数字电路的特点,理解数字信号与模拟信号的区别。 2、掌握二进制数的表示方法以及二进制数的四则运算。 3、掌握关于数字电路的几个规定。 教学重点数字电路的特点 教学难点十进制数和二进制数之间的转换、关于高低电平的规定教学资源无 教学实施过程: 教学内容: A、复习:二极管及三极管的工作状态 1、二极管导通时相当于什么,截止时相当于什么? 2、三极管共有几种工作状态,饱和时相当于什么,截止时相当于什么? B、引入 由电子线路中电信号的分类引入数字电路知识 C、新授 一、数字电路及其特点 1、概念 (1)数字信号: (2)数字电路: (3)模拟信号: (4)模拟电路: 2、数字电路的特点: (1) (2) 3、二进制数教师活动: 提问1、二极管导通时 相当于什么,截止时相 当于什么? 2、三极管共有几种工作 状态,饱和时相当于什 么,截止时相当于什 么? 讲述:电子线路中的电 信号分为两大类:即数 字信号和模拟信号 要求学生看书找到这些 概念并完成知识点一, 同时板书。 要求学生看书完成知识 点二 学生活动: 回答教师提问 看书找答案并完 成知识点一 看书并完成知识 点二

二进制数:只有0 和1 两个数码,其进位规则是“逢二进一”。 4、二进制数的四则运算 (1)、加法运算 [例13.1.1](1001)2 +(11)2 = ? (2)、减法运算 [例13.1.2](11001)2 -(110)2 = ? (3)、乘法运算 [例13.1.3](1001)2 *(101)2 = ? (4)、除法运算 [例13.1.4 ] (10111010)2 /(1101)2 = ? 5、二进制—十进制的互换规则 (1)、二进制化为十进制 方法:为“乘权相加法” [例13.1.5]把二进制数11101 转换为十进制数。 (2)、十进制化为二进制 方法:为“除2 取余倒记法” [例13.1.6]把十进制数37 转换为二进制数。 6、关于逻辑电路的几个规定 (1)、逻辑状态表示方法的规定 用数字符号0 和 1 表示相互对立的逻辑状态,称为逻辑0 和逻辑1。 常见的对立逻辑状态示例 (2)高、低电平规定讲解二进制数的进位规 则: 要求学生根据二进制数 的进位规则先试着练习 讲解例题 师:自然界中存在着大 量相互对立的逻辑状 态,比如电位的高低, 脉冲的有无等,这些对 的逻辑状态可用我们熟 知的数字符号0和1表 示,但是这里0和1 与 数学中不同,只是一种 符号称为逻辑0和逻辑 1. 要求学生看书完成知识 讨论并完成知识 三 看书并完成相关 知识点

6.2、典型小规模数字电路及其应用

6.2 典型的小规模数字电路及其应用 一.74HC74: 八路D触发器(边沿触发) / Octal D-Type Flip-Flop With Clear (1)封装:16脚DIP (2)逻辑功能表:从逻辑表中可看出:上升沿翻转,低电平清零和置‘1’。 (3)应用举例:74HC74经常作为中断申请时的锁存信号!Handshaking上升沿向单片机提出中断请求INT1,单片机响应后,发出CLR_INT1清除Q,允许下次中断请求!

二.74HC273: 八路D触发器(边沿触发) / Octal D-Type Flip-Flop With Clear (1)封装:20脚DIP (2)逻辑功能表:从逻辑表中可看出:上升沿翻转,低电平清零。 (3)逻辑电路框图 (4)应用举例一 作为单片机的输出锁存,可驱动一个8位LED数码显示,如下图: 1D—8D接51单片机的数据总线D0—D7,CLK接地址线的译码CS和WR信号

的组合,CLR接+5V,输出1Q—8Q通过限流电阻接共阴极LED数码管。 指令:MOV DPTR,#273_Addr MOVX @DPTR,A 可将ACC中的数据打入到273中锁存。 (5)应用举例二:采用74HC273作为地址锁存器,扩展单片机的地址空间 51系列单片机系统中要接入: 1片大容量4M位的FLASH(AT29C040A), 1片8K字节SRAM(HY6264), 1片实时时钟芯片DS12C887, 扩展1个串口外接Modem(采用16C550), 如何进行单片机的地址空间分配和地址译码? 分析设计:单片机的地址线为16根:A0~A15,可直接访问的数据空间为64k,地址编码范围:0000H~FFFFH,必须进行扩展。 AT29C040A为4M位FLASH,即512Kx8位。共有地址线19根:A0~A18。 HY6264:8KSRAM DS12C887:114字节 16C550:几十个字节 考虑将AT29C040A分页接入,每页32K,对应地址线为:A0~A14;占用单片机地址空间的低32K:0000H~7FFFH。 采用一片74HC273作为页面地址锁存器, 锁存FLASH的高位地址:A15~A18。该锁存器也需要占用一部分地址空间,和其它几个芯片共享高32K地址:8000H~FFFFH。为了译码简单化,设计每个器件都占用8K地址空间。 故采用GAL16V8来地址译码,ABEL语言的逻辑设计为:

数字电路CD4017的原理及应用电路

创作编号:BG7531400019813488897SX 创作者:别如克* 数字电路CD4017的原理及应用电路 数字电路CD4017是十进制计数/分频器,它的内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是Q0、Q1、Q2、…、Q9依次出现与时钟同步的高电平,宽度等于时钟周期。 CD4017有10个输出端(Q0~Q9)和1个进位输出端~Q5-9。每输入10个计数脉冲,~Q5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 CD4017有3个输(MR、CP0和~CP1),MR为清零端,当在MR端上加高电平或正脉冲时其输出Q0为高电平,其余输出端(Q1~Q9)均为低电平。CP0和~CPl是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CPl端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。由此可见,当CD4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 CD4017有两个时钟端CP 和EN,若用时钟脉冲的上沿计数,则信号从CP 端输入;若用下降沿计数,则信号从EN 端输入。设置两个时钟端是为了级联方便。 CD4017 与CD4022 是一对姊妹产品,主要区别是CD4022 是八进制的,所以译码输出仅有Y0~Y7,每输入8 个脉冲周期,就可得到一个进位输出,它们的管脚相同,不过CD4022 的6、9 脚是空脚。

cd4017方框图cd4017引脚图 一、用一个CD4017制成的彩灯电路 1.用一个CD4017制作的彩灯电路如图1 所示。 cd4017电路图 2.电路工作原理 CD4017输出高电平的顺序分别是③、②、④、⑦、⑩、①、⑤、⑥、⑨脚,故③、②、④、⑦、⑩、①脚的高电平使6串彩灯向右顺序发光,⑤、⑥、③脚的高电平使6串彩灯由中心向两边散开发光。各种发光方式

数字电路基本概念

数字电路基本概念 第一章 由于模拟信息具有连续性,实用上难于存储、分析和传输,应用二值数值逻辑构成的数字电路或数字系统较易克服这些困难,其实质是利用数字1和0来表示这些信息。 1.二值数值逻辑:常用数字0和1来表示数字信号,这里的0和1不是十进制的数字,而是逻辑0和逻辑1。 2.二值数字逻辑的产生,是基于客观世界的许多事物可以用彼此相关又相互对立的两种状态表示;而且在电路上,可用电子器件的开关特性来实现,由此形成离散信号电压或数字电压。 (1)技术上容易实现。用双稳态电路表示二进制数字0和1是很容易的事情。 (2)可靠性高。二进制中只使用0和1两个数字,传输和处理时不易出错,因而可以保障计算机具有很高的可靠性。 (3)运算规则简单。与十进制数相比,二进制数的运算规则要简单得多,这不仅可以使运算器的结构得到简化,而且有利于提高运算速度。 (4)与逻辑量相吻合。二进制数0和1正好与逻辑量“真”和“假”相对应,因此用二进制数表示二值逻辑显得十分自然。 (5)二进制数与十进制数之间的转换相当容易。人们使用计算机时可以仍然使用自己所习惯的十进制数,而计算机将其自动转换成二进制数存储和处理,输出处理结果时又将二进制数自动转换成十进制数,这给工作带来极大的方便。 3.逻辑状态:客观世界的许多事物可以用彼此相关又相互对立的状态。 4.脉冲波形:当某波形仅有两个离散值时。 数字波形是逻辑电平对时间的图形表示。 5..占空比表示脉冲宽度占整个周期的百分数。 6.上升时间:从脉冲幅值的10%到90%所经历的时间。 7.下降时间:从脉冲幅值的90%下降到10%所经历的时间。 8.脉冲宽度:脉冲幅值的50%的两个时间点跨越的时间。 9.数据率或比特率:每秒钟所传输数据的位数。 10.时序图:表示时间关系的多重数字波形图。 11.存储器:用来存储二值数据的数字电路。 12.正逻辑:1表示高电平,0表示低电平。 13.负逻辑:与正逻辑相反。 14.表达电路功能主要用:功能表、真值表、逻辑表达式、波形图。 15.当前两种主要的逻辑门电路是组合逻辑电路和时序逻辑电路。 16.逻辑门是数字电路的基本单元。 17.数字电路与数字集成器件的关系:现代数字电路使用半导体工艺制成的若干数字集成器件构造成而成的。 18.数字电路从整体上分为:小规模、中规模、大规模、超大规模、甚大规模五类。 19.集成度:每一片芯片上所包含的三极管的个数。 20.十进制:是以10为基数的计数体制,任何一个数都可以用1,2,3,4,5,6,7,8,9来表示,其计数规律是逢十进一。 21.位权:数值在不同位置上的倍率值,对于多位数,处在某一位上的“l”所表示的数值的大小,称为该位的位权。 22.为什么计算机或数字系统中通常用二进制数? 答:(1)二进制的数字装置简单可靠,所用元件少;二进制只有两个数码0和1,因此,它的每一位数可用任何具有两个不同稳定状态的元件来表示。

相关主题
文本预览
相关文档 最新文档