当前位置:文档之家› Verilog HDL 汽车尾灯控制器的设计

Verilog HDL 汽车尾灯控制器的设计

Verilog HDL 汽车尾灯控制器的设计
Verilog HDL 汽车尾灯控制器的设计

汽车尾灯控制器的设计

摘要本课程设计根据计算机中状态机原理,采用EDA技术设计了简易的汽车尾灯控制器。系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等。本文详细地介绍了整个设计流程。

关键字EDA;汽车尾灯控制器;时钟分频

目录

1引言 (1)

1.1 设计的目的 (1)

1.2 设计的基本内容 (1)

2 EDA、VHDL简介 (1)

2.1 EDA技术 (1)

2.1.1 EDA技术的概念 (1)

2.1.2 EDA技术的特点 (2)

2.1.3 EDA设计流程 (2)

2.2 硬件描述语言(VHDL) (2)

2.2.1 VHDL简介 (2)

2.2.2 VHDL语言的特点 (3)

3汽车尾灯控制器的设计过程 (3)

3.1系统需求分析 (3)

3.2汽车尾灯控制器的工作原理 (3)

3.3各组成模块原理及程序 (4)

3.3.1时钟分频模块 (5)

3.3.2 汽车尾灯主控模块 (5)

3.3.3左边灯控制模块 (6)

3.3.4右边灯控制模块 (6)

4系统仿真 (7)

4.1分频模块仿真及分析 (7)

4.2汽车尾灯主控模块仿真及分析 (8)

4.3左边灯控制模块仿真及分析 (8)

4.4右边灯控制模块仿真及分析 (9)

4.5整个系统仿真及分析 (9)

结束语 (11)

致谢 (12)

参考文献 (13)

附录 (14)

1引言

随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。

1.1 设计的目的

本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC 设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

1.2 设计的基本内容

根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

2 EDA、VHDL简介

2.1 EDA技术

2.1.1 EDA技术的概念

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.1.2EDA技术的特点

利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。

2.1.3 EDA设计流程

典型的EDA设计流程如下:

1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。

2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。

3、综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

4、行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。

5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。

6、功能仿真和时序仿真。

7、下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。

8、硬件仿真与测试。

2.2 硬件描述语言(VHDL)

2.2.1 VHDL简介

VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系

统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

2.2.2 VHDL语言的特点

1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。

2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。

3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。

4.可以进行从系统级到逻辑级的描述,即混合描述。

5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。

3汽车尾灯控制器的设计过程

3.1系统需求分析

根据现代交通规则,汽车尾灯控制器应满足以下基本要求:

1.汽车正常使用是指示灯不亮

2.汽车右转时,右侧的一盏灯亮

3.汽车左转时,左侧的一盏灯亮

4.汽车刹车时,左右两侧的指示灯同时亮

5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用

3.2汽车尾灯控制器的工作原理

汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。系统的整体组装设计原理如图3.1所示。

图3.1 系统的整体组装设计原理

3.3各组成模块原理及程序

汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左

边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

3.3.1时钟分频模块

整个时钟分频模块的工作框图如图3.2所示。

图3.2时钟分频模块工作框图

时钟分频模块由VHDL 程序来实现,下面是其中的一段VHDL 代码:

ARCHITECTURE ART OF SZ IS

SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK = '1'THEN

COUNT <= COUNT + 1;

END IF;

END PROCESS;

CP<= COUNT(3);

END ART;

3.3.2 汽车尾灯主控模块

汽车尾灯主控模块工作框图如图3.3所示。

.

图3.3 主控模块工作框图

汽车尾灯主控模块由VHDL 程序来实现,下面是其中的一段VHDL 代码:

ARCHITECTURE ART OF CTRL IS

BEGIN

NIGHT_LED<=NIGHT;

BRAKE_LED<=BAKE;

PROCESS(LEFT,RIGHT)

V ARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

TEMP:=LEFT & RIGHT;

CASE TEMP IS

WHEN "00" =>LP<='0';RP<='0';LR<='0';

WHEN "01" =>LP<='0';RP<='1';LR<='0';

WHEN "10" =>LP<='1';RP<='0';LR<='0';

WHEN OTHERS=>LP<='0';RP<='0';LR<='1';

END CASE;

END PROCESS;

END ART;

3.3.3左边灯控制模块

左边灯控制模块的工作框图如图3.4所示。

图3.4左边灯控制模块的工作框图

左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF LC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF(LR ='0')THEN

IF(LP = '0')THEN

LEDL<='0';

ELSE

LEDL<='1';

END IF;

ELSE

LEDL <='0';

END IF;

END IF;

END PROCESS;

END ART;

3.3.4右边灯控制模块

右边灯控制模块的工作框图如图3.5所示。

图3.5 右边灯控制模块的工作框图

右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF RC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,RP,LR)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF(LR = '0')THEN

IF(RP = '0')THEN

LEDR <='0';

ELSE

LEDR <= '1';

END IF;

ELSE

LEDR <='0';

END IF;

END IF;

END PROCESS;

END ART;

4系统仿真

4.1分频模块仿真及分析

分频模块由VHDL程序实现后,其仿真图如图4.1所示。

图4.1 分频模块仿真图

对其仿真图进行仿真分析:如图所示,首先生成一个600ns的时钟脉冲,通过时钟

分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。

4.2汽车尾灯主控模块仿真及分析

汽车尾灯主控模块由VHDL程序实现后,其仿真图如图4.2所示。

图4.2主控模块时序仿真图

对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RP,LP,NIGHT_LED,BRAKE_LED为输出信号。如图所示:当RIGHT为1时,产生一个RP 为1的信号脉冲输出,当LEFT为1时,产生一个LP为1的信号脉冲输出,当NIGHT为1时,产生一个NIGHT_LED为1的信号脉冲输出。当BRAKE为1时,产生一个BRAKE_LED 为1的信号脉冲输出。

4.3左边灯控制模块仿真及分析

左边灯控制模块由VHDL程序实现后,其仿真图如图4.3所示。

图4.3左边灯控制模块时序仿真图

对时序仿真图进行分析:LP,LR,NIGHT,BRAKE 为输入信号,LP为1表示左转,LR 为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDL,LEDB,LEDN为输出信号,表示汽车左侧的三盏灯。如图所示:当LP为1时,LEDL输出为1表示左侧灯亮,当BRAKE为1时,LEDB输出为1表示左侧灯亮,当NIGHT为1时,LEDN输出为1表示左侧灯亮。当LR为1时,左侧三盏灯输出均为0。即没有灯亮。

4.4右边灯控制模块仿真及分析

右边灯控制模块由VHDL程序实现后,其仿真图如图4.4所示。

图4.4右边灯控制模块时序仿真图

对时序仿真图进行分析:RP,LR,NIGHT,BRAKE 为输入信号,LR为1表示左转,RP 为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDR,LEDB,LEDN为输出信号,表示汽车右侧的三盏灯。如图所示:当RP为1时,LEDR输出为1表示右侧灯亮,当BRAKE为1时,LEDB输出为1表示右侧灯亮,当NIGHT为1时,LEDN输出为1表示右侧灯亮。当LR为1时,右侧三盏灯输出均为0。即没有灯亮。

4.5整个系统仿真及分析

按图3.1组装系统后的仿真图如图4.5所示。

图4.5 整个系统仿真图

对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RD1,RD2,RD3

为输出信号,表示汽车右侧的三盏灯。LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。如图所示:当RIGHT为1时,RD1输出为1表示右侧灯亮,当LEFT为1时,LD1为输出为1表示左侧灯亮,当NIGHT为1时,LD2,RD2输出均为1,表示左,右两侧各有一盏灯亮。当BRAKE为1时,LD3,RD3输出均为1,表示左,右两侧各有一盏灯亮。

结束语

通过两星期的紧张工作,最后完成了我的设计任务——汽车尾灯控制器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。

在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

致谢

本课程设计是在肖晓丽老师精心指导和大力支持下完成的。本课题在选题及设计过程中得到肖老师的悉心指导。她多次为我指点迷津,帮助我开拓设计思路,精心点拨、热忱鼓励。她渊博的知识、开阔的视野和敏锐的思维给了我深深的启迪。通过这次课程设计我从肖老师那学到不少有用的知识,也积累了一定的项目开发经验。

本课程设计通过两周的设计与开发已基本完成,实现了汽车尾灯控制器的基本功能。但是由于课程设计时间较短以及水平有限,所以该控制器还有许多不尽如人意的地方。

参考文献

[1] 王爱英.计算机组成与结构.北京:清华大学出版社,2001.2

[2] 黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006

[3] 曹昕燕,周凤臣,聂春燕.EDA技术实验与课程设计.北京:清华大学出版社,2006.5

[4] 杨亦华,延明.数字电路EDA入门.北京:北京邮电大学出版社,2003

附录

1主控模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY CTRL IS

PORT (LEFT,RIGHT,BRAKE,NIGHT: IN STD_LOGIC;

LP,RP,LR,BRAKE_LED,NIGHT_LED:OUT STD_LOGIC); END;

ARCHITECTURE ART OF CTRL IS

BEGIN

NIGHT_LED<=NIGHT;

BRAKE_LED<=BAKE;

PROCESS(LEFT,RIGHT)

V ARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

TEMP:=LEFT & RIGHT;

CASE TEMP IS

WHEN "00" =>LP<='0';RP<='0';LR<='0';

WHEN "01" =>LP<='0';RP<='1';LR<='0';

WHEN "10" =>LP<='1';RP<='0';LR<='0';

WHEN OTHERS=>LP<='0';RP<='0';LR<='1';

END CASE;

END PROCESS;

END ART;

2时钟分频模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SZ IS

PORT(CLK:IN STD_LOGIC;

CP:OUT STD_LOGIC);

END;

ARCHITECTURE ART OF SZ IS

SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK = '1'THEN

COUNT <= COUNT + 1;

END IF;

END PROCESS;

CP<= COUNT(3);

END ART;

3右边灯控制模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY RC IS

PORT(CLK,RP,LR,BRAKE,NIGHT:IN STD_LOGIC;

LEDR,LEDB,LEDN: OUT STD_LOGIC);

END;

ARCHITECTURE ART OF RC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,RP,LR)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF(LR = '0')THEN

IF(RP = '0')THEN

LEDR <='0';

ELSE

LEDR <= '1';

END IF;

ELSE

LEDR <='0';

END IF;

END IF;

END PROCESS;

END ART;

4左边灯控制模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY LC IS

PORT(CLK,LP,LR,BRAKE,NIGHT:IN STD_LOGIC;

LEDL,LEDB,LEDN: OUT STD_LOGIC); END;

ARCHITECTURE ART OF LC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF(LR ='0')THEN

IF(LP = '0')THEN

LEDL<='0';

ELSE

LEDL<='1';

END IF;

ELSE

LEDL <='0';

END IF;

END IF;

END PROCESS;

END ART;

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

汽车尾灯控制电路设计

电子技术综合实验2 (开放型实验) 实验指导书 南昌航空大学信息工程学院电工电子教研室 2009年8月

实验一汽车尾灯控制电路设计 一、设计型实验的目的与任务 实验目的:使学生熟悉和掌握实际电子技术应用所需要的完整流程,即电路原理图设计、电路性能仿真与测试、电路板的制作、硬件电路的调试这一整套技能。 实验任务:在计算机上绘制电路原理图,完成设计电路的软仿真。在电子技术实验箱上搭建实物电路,并完成硬件电路的调试。观察实验现象,写出实验报告。 二、设计要求 假设汽车尾部左右两侧各有3个指示灯(可用试验箱上的电平指示二极管模拟) 1、汽车正常运行时指示灯全灭 2、右转弯时,右侧3个指示灯按右循环顺序点亮 3、左转弯时左侧3个指示灯按左循环顺序点亮 4、临时刹车时所有指示灯同时闪烁 三、设计内容 1、列出尾灯与汽车运行状态表,如表1-1所示 表1-1 2、设计总体框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出每种运行状态下,个指示灯与各给定条件(S1、S0、CP、Q1、Q0 )的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。 表1-2

图1-1 3、设计单元电路 三进制计数器电路。由双JK 触发器74LS76构成,可根据表1-2进行设计。汽车尾灯电路。其显示驱动电路由6个发光二极管和6个反相器构成。译码电路由三线译码器74LS138和6个与非门构成。74LS138的三输入端A2、A1、A0分别按Q1、Q0,而Q1Q0是三进制计数器的输出端 Y 0,Y 1,Y 2,依次为0有效(Y 3,Y 4,Y 5的符号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LS138对应的输出端Y 4,Y 5,Y 6依次为0有效,即反相器G4~G6的输出端也依次为0,故指示灯D4→D5→D6顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭:当G=0,A=CP 时,指示灯随CP 的频率闪烁。 开关控制电路。设73LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体功能表分析及组合得G 、A 与给定条件(S1、S0、CP )的真值表,如表1-3所示,真值表经过整理得逻辑表达式为 10G S S =⊕ 10101010A S S S S CP S S S S CP =+=? 表3-3 开关控制 CP 使能信号 S 1 S 0 G A 0 0 Х 0 1 0 1 Х 1 1 1 0 Х 1 1 1 1 CP 0 CP 4、设计汽车尾灯总体参考电路 由步骤3可得出汽车尾灯总体电路(参考),如图1-2所示

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

汽车尾灯控制器设计

— 《可编程器件》课程设计报告 课题:汽车尾灯控制器设计: 班级学号 学生姓名 专业 系别 指导老师 ~ 淮阴工学院 电子与电气工程学院 2014年11月 ,

一、设计目的 《可编程器件》课程设计是时一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可使学生通过综合的系统设计,熟悉应用系统的设计过程、设计要求、完成的工作内容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。 通过课程设计,应能加强学生如下能力的培养: (1)) (2)独立工作能力和创造力; (3)综合运用专业知识及基础知识,解决实际工程技术问题的能力; (4)查阅图书资料、产品手册和各种工具书的能力; (5)工程绘图的能力; (6)编写技术报告和编制技术资料的能力。 二、设计要求 假设汽车尾部左右两侧各有3盏知识灯,其控制功能包括: (1)汽车正常行驶是指示灯都不亮。 (2)… (3)汽车右转弯时,右侧的一盏指示灯RD1交替闪烁,周期为2秒,其余灯熄灭。(4)汽车左转弯时,左侧的一盏指示灯LD1交替闪烁,周期为2秒,其余灯熄灭。(5)汽车刹车时,左右两侧的一盏指示灯LD2,RD2同时亮。 (6)汽车夜间行驶时,左右两侧的一盏指示灯LD3,RD3同时一直亮,供照明使用。 三、设计的具体实现 1、汽车尾灯控制器的工作原理 汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。 通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night系统的输出信号:汽车左侧3盏指示灯LD1,LD2,LD3和汽车右侧3盏指示灯RD1,RD2,RD3实现以上功能。 " 系统的整体组装设计原理如图所示:

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

汽车尾灯控制器的设计与实现

基于DHVL的汽车尾灯控制器的设计与实现 学生:唐早德指导老师:魏立伟 摘要本课程设计根据计算机中状态机原理,采用EDA技术设计了简易的汽车尾灯控制器。系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等。 关键字EDA;汽车尾灯控制器;时钟分频

Automobile tail light the controller design Student:tang zaode Advisor:Wei Liwei Abstract of state machines in the simple design of EDA technology outsourcing controller. System design using the top-down design method, the top design the principle diagram design way, it by the clock frequency division module, main control module, automobile tail light on the left and the right lamp light control module control module four parts. The system hardware description language the circuit based on the modular system VHDL way design, then compile, timing simulation, etc. Key words EDA; Automobile tail light controller; Clock frequency division

汽车尾灯控制器设计——完整版

1、Proteus简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、P CB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC 11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间; 支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 可输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。

1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真; 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C调试器等; 生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动; 高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析; 1.2.3 独特的单片机协同仿真功能(VSM) 支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC1 8、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU类型随着版本升级还在继续增加,如即将支持CORTEX、DSP处理器; 支持通用外设模型:如字符LCD模块、图形LCD模块、LED点阵、LED七段显示模块、键盘/按键、直流/步进/伺服电机、RS232虚拟终端、电子温度计等等,其COMPIM(COM口物理接口模型)还可以使仿真电路通过PC机串口和外部电路实现双向异步串行通信; 实时仿真:支持UART/USART/EUSARTs仿真、中断仿真、SPI/I2C仿真、MSSP仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真; 编译及调试:支持单片机汇编语言的编辑/编译/源码级仿真,内带8051、A

课程设计——汽车尾灯控制器的设计1

成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 题目汽车尾灯控制器的设计 学生姓名 专业 班级 指导教师 日期:2010年7月5日

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each module.Car taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module.Each module after the formation of a car taillight integrated controller.Through the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory

汽车尾灯控制器设计

齐鲁工业大学课程设计专用纸成绩 课程名称数字逻辑指导教师 院(系)信息学院专业班级 学生姓名仅作参考学号不谢设计日期 2014.7.2 课程设计题目汽车尾灯控制器设计 一、课程设计目的与任务 课程设计的目的:通过课程设计让学生进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则;提高学生的综合运用所学的理论知识,独立分析和解决问题的能力;让学生初步掌握对电子线路安装与调试等。 设计任务:设计一个汽车尾灯显示控制,实现对汽车尾灯状态的控制。 二、课程设计内容 1本设计题目的主要内容 本设计主要是用中、小规模集成电路设计一个汽车尾灯显示控制。在汽车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。②汽车向右转弯行驶时,右侧的三个指示灯按循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。 使用Multisim 2000进行仿真设计。 汽车尾灯显示控制的构成: (1)模式控制电路 (2)三进制计数器 (3)译码与显示驱动电路 (4)尾灯状态显示电路 2基本要求 (1)要求电路简单可靠,仿真结果基本正确。 (2)满足基本的设计要求,基本功能能够实现。 (3)提交课程设计报告。

3设计思想与总体构架 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。 假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表所示。 开关控制汽车运行状 态6个发光二极管 K1K0D1 D2 D3D4 D5 D6 11正常运行灯灭灯灭 10右转弯 按D1、D2、D3顺 序循环点亮 灯灭 01左转弯灯灭 按D4、D5、D6顺序 循环点亮 00临时刹车所有尾灯同时按cp闪烁 该电路主要有三方面的要求,一时脉冲,二是汽车的行驶状态要与汽车尾灯的显示要对应,三是汽车尾灯的循环变亮。脉冲使用555定时器构成的多谐振荡器,通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态的对应,使用3进制计数器实现汽车尾灯的循环。 总体框架:

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

EDA汽车尾灯控制课程设计报告

《EDA技术应用》 课程设计报告 专业:通信工程 班级:09312班 姓名:某某某 指导教师:杨祖芳曾凡忠 2012年05月20日

目录 1引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1EDA技术 (1) 2.2硬件描述语言(VHDL) (2) 3汽车尾灯控制器的设计过程 (3) 3.1系统需求分析 (3) 3.2汽车尾灯控制器的工作原理 (3) 3.3各组成模块原理及程序 (4) 4系统仿真 (9) 4.1分频模块仿真及分析 (9) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (12) 4.5整个系统仿真及分析 (13) 结束语 (15) 指导老师意见 (16) 参考书目 (16)

1引言 随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。 1.1 设计的目的 其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。 1.2 设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 2 EDA、VHDL简介 2.1 EDA技术 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

课程设计(论文)_汽车尾灯控制器的电路设计

一、概述 1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。 2.设计要求:在汽.车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。 二、方案设计 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。 表1 汽车尾灯和汽车运行状态 开关控制 汽车运行状态 左转尾灯右转尾灯 L R D1 D2 D3 D4 D5 D6 0 0 正常运行灯灭灯灭 0 1 右转弯 按D3、D2、D1顺 序循环点亮 灯灭 1 0 左转弯灯灭 按D4、D5、D6顺 序循环点亮 1 1 临时刹车所有尾灯同时闪烁 在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示(表中指示灯的状态“1”表示点亮,“0”表示熄灭)。 表2 汽车尾灯控制器功能表 控制变量计数器状态汽车尾灯 L R Q1 Q0 D1 D2 D3 D4 D5 D6

d d 0 0 0 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 1 d d cp cp cp cp cp cp 根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等部分组成 图1 汽车尾灯控制电路的原理框图 三、电路设计 1.时钟脉冲电路的设计 方案一:石英晶体振荡器 此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。此电路非常适合秒脉冲发生器的设计,但由于 开关控制电路 三进制计数器 译码电路 显示、驱动电路 L 尾灯状态显示 R

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

相关主题
文本预览
相关文档 最新文档